repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
natsutan/NPU
fpga_implement/npu8/npu8.srcs/sources_1/ip/mul16_16/hdl/xbip_utils_v3_0_vh_rfs.vhd
13
163693
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EAn/CKC+U/pm42S3DpEFSVwzlTOXuRyNz4u2z5zKejEznW1x5QrUt8jy1xCYF7wPwcsp9IbEYQjw Uh0w/eBguw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S+bnY/fSJNCyzmV2nC+yz0CGvgHtAi+wmxMKmzbqchVWqZndCdRcS+GF43CEbEgXUiivUdEwYWw9 qTs+cDgdH/cMKJnHMfTxq9baml2GY6HFuUQNaI7+ThKTjT6lv56k/0+ZEQryX2p5Q7aXTGwPuv2w hFoWrooS/C+XX320Ze4= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nQh0AgGZmFUbPyADCm/iUcix8kEGDLqqnf6loCkbhSLoY+RIDwdH5TCV2QtCCWwt6QBXOOEvUuWG eDfnxMKJZjK5XpRdvuJI5FyDxi7Dj099Jj0az7cJ7KC57fT1tXw5pJULpi7u/whYsIX2rE/FZzH2 U6qE6uhIEi/aDNK1SI4= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HIjB6zmYBaO4N3qi7pHBp/e7FEqttwBmkIc+kAnKEjCrPAr9r5cFPMTQz8Yt3O2vS/d/hP5DtGI0 d5s7ch6t4/b2YNZeU0I3xsI6StHmysgfcujtnl7fkxDNNuLGdY0zkjBt1yvmUx+Wh421uHiHzV9J Vkk+mmjVJmtonWhLUUICJOK4SXFGthAunNaHhCQZBx95U1oH5NbWHGer6sblNB7P0V2cWEbjKUX2 AtAbdzLs3Xo3RXbkaQScN19YgVZtBIP1sWpDy7X0eyN2al54D6ymAyO4I43xJY7ISfAIpnaZbwdG E9P9597B2tAMv1WtYNWm8lCUJxrxajJ9tzocWQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F+VABPdOxl1BquRn6G+tIIKfeDyEnXksc4DNPkVCVmEWbMReDmZrpxdPdEVbVMaHjVtUtnS6qSQR FOOdsTyalWKCmFMMnnyYkpQFth/LeuFYMTwvIPrIb3h7BtQUT7LswO2tItezeTEJmeNhxfneGCtw O9L+/UBH2GNoy7sQ7ysopjsnNMBEZ0DKWjwLYm3W6UQEEPkKno5SPEZU7QR3w5+ZoeU78zmXECyO KDzgCuo/T7H6GqPBfJEHp1cIlPWM8OWwheO7/1hRB5qwTn9pr2s8PUT/WYqkVpzEoWYVz9mFmfSR bqoah0Z92xOvTXdKi5zzagwNB8AJo3dNooI8wg== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lC3r2p2u53Pp/Zwl4BHCgiMHMbcE0jlB19nITLT7wEI/F6ifcWYrKaJY5bo3S1chjj2D5FF7tbJz +27ziVReWvPUBIfwKhMzJckIvuBSs5lnHoVTw6GovITOZVLMDrun1tab2k+6eco7Budo+sr1nVk9 BvUttx2+p/UWGm4FgsYIJxmSVguFMw+NXj+t/54Qx0AWkt+P8mFramJ8yz95ulfYK9rPMTJ0AHG6 X9oRrS9+D/xFBwFfnE8LB8awWiS0QOXL5ARCaZeaKL011NjbLUpx9ZCXhr7CLRTcDk1s++5Ub8WD uABkLpq2pB9HgQyCcmMtDYxJ2P1Khyka0ZM1VQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040) `protect data_block Vp0znxXrS+9IsJu7C2DKqGhdbtlVXk349WIpupQ/gVbpjk9R5LtWHsYdMLqXe/+/SXxeAyi9VDPo uau3PebrMzkiRshfKcCRwqbVFudP1PV4azuIsSKyjQ+k3/qDW22i4E6/k2xhiqilf127p+hlyhBd yn479d7yWPS9OaBWMk29hgMrzxIp/wn4KFMP1mJ4TBRwlNWM/amu5JupCtnVg9JMEPl7//63oAHr W6QmMPISqIB35KHVKcmXWgxA+kJ870iqzeIe3pB/ub+Nkx0KweESstzCT01SY995ZzA5e5yg1n+U BM6Ybu16mwMT6f3E0cqNxWhlQ4B8GLctJPPBzYSjh5TOzk23jerq2/Iyh7+B8zbFfNh7fdA1yw94 uGOiy7HIq1cShbY3OkMf0nnhws3/mmCT1GGm0yd6Hf9Rv01GynJUSKzvpklulQaCRLlZnSy1waYL KEoVTD1xK9c8FaKGtinEqxFqnDSjNenbT0keOpl8HWU7gC4OQFjWK805rpiZFr9GGf2IZBIXirOB ioqkk8o5ts+eY73b1XP+zBeWuDlDQrOSAO2C8p4zrJ4TY3bb2MiyxIZKus+ghJ58dAMOHUhNDXjl gYWS7cwr8IWWfEOXQzIYxjkS18Si5a3vrSu4x9h3segft+FsAzLYMwOQo0lUtp2CF6r6vaLJBt6s uYIr08MnTIbfBbz011Ew0Haeew2CoI+Ke1kPvcQau3ZvlkWoSkApavl8x+lMqMrg80gBCp/nqKV2 8iC4wa+wdtiwz6J+UNrlGvRSLcQRCXl/JIm5pjGAkP3wGA2m9mjFXIHv5IALQJzvxoxIQsGSnNmO DG+fN1vUKg0Um2AlNlDWPdFdJ1OeLEei8RK5EgliBJf3amnUC1wpOikv0v5fgC5Eu3K4hxZt3/nr WMayRcdkNfCFeNSE198Jlp8TKjJG8gu1m/tBst4S5FZyeOLngDwFUYy3a3iY/PV4AZgOTL7y4wQT j0zCV4xIQGUYVHS7r5bMhaB2wLuZn7nxcGw719a2criStrNit3WFjp0juwro8/E1u8NCHU8EmGfu j0Q9kCjNi8Yo4R6rnOg9/Yzr4SXLNhPvAaYtT5mVL+w/WNCa335y55mGOatbgGpxbksbcrhDANsI 75cLspHSALhtQ1foy1jB3CInMm/IzTYMUZTLuAFEWKgxuOq2h9pyXKw1hdR6tZ0GrMvnK0XAJmy1 sUKUpCMfQ2If3mgeyA8MTLDU59lusDdibHtYSN17vpn3ZsZBoNnQqrm2QCZnHY0Odz5DjoFQzu64 XrzgujouktWqDc8PQ5aqJnPZfJO2l9AKDFOxX6Ds3efpKJmw0K54AnEAQGB87Th+niOiY5J29IDp Gjl144KgBqZ0JwN4s8Njji03ShTH2FwoFk7C6x6u/S3VC6l5ONQslQoWYqU98RsLQeEnqYwPS58X SpRCm9BA96R+xTJ2CCPYt2sWCsR6IJpMxB1qjqItyVL+S44YS14zvF1n/JhCgoAW4lJH6R6nOr44 RJJPweh1UFgpYD3nA1+DnNLuHpsWhx46WstsPWOO5O1eG6nuztNtUirCmndh0xxzo2x55GG6Ddjw wMy2CMzWxqpUFvxkLDMg5h2uzPyErG043ttDdu2QWW1irHevlwa2RoIQUDzajdQM2tVW/tToyiLX u2lh9QiMPSvRHLvbU1kZ6KDkyONwDiL6yxhd06cIq423xYLjvq2R+510ZfadsxfHOIemosWivs4f 0T2muQMSXksWWuU+rJKK7e99I4MMhjDqfHJsONSt7AuIqVidPkqxWI68OyRcqdJItVPLLEbxw80i Ph8J8eJPasHFRKRlMoJ2HWbVJ3Lljw+qkaCi4ZkRkY7uKEDdL3YL9NItYOvdVcXfAgPhj0uxYr2O oUzbjzlFd/L2pD/OL9d259Y0yE0XCVvEL3uy8hTxSNhqqCv41m3qi2wMFasU2b57o0XkrAniRuMq xQAlBCJ/4V4LTlk1pHZYgUQwPLtEArxpM2CnagCACJYApABd+9Pn+bsP/LOG3opwvVr/4O+DFqbm wVTZfeCwOOaKXGEK/TsJ7nQSj1MxO3mNteoGwJKoX6qSLPFC5QXnSQCDqL58oofx7+ZnyaLl/qec sFwLNFKuXIE9lIGdBToWpWwujUBe5hzUVP5fldm9HoJcvmH/I4F4at46iszVGB0KamWUSPGF3lcQ hSLin3J+x9NiyryNjywaeyOy4XFO0I4R3ox3pAuMIsa9GpK94BlBgnhR/IvkcnLqBHIp4p4FDD2W nuLcrSog2eXHxRphrvyEJiGjCeJunxA3lPFT4ZQAYCgA54OxSD/EubO1c/p4dWDG1UE/Bct+Qs63 LjEl0JuR8uwcJCMZ652XdiYFY9ZEc4mJuT6eET2Cs8u/XIjvqRrWNRYYI/+hzGHWgSZjVubKyThX V8IOvrFUNnmB/VwQojZXqTcIHWrUlnLyawsvJNf9OWyv9zxMmYwcd22m5ybAsmu3+QbS46JJvLMj ycYGYvX+oVww0dfMsyMahh8UZA38BVoHl4bI6Xn7Tb7WxSOHVRbPpEKUzmIkNehQHqAZGzdS8u+i qLhvRxs6QCa5J8qve6bf54F5876nWkNavf2bw82jdqyBudgHtKX+zlGmVVrSnzkRm1jQ+WY7onPr 4IwChUQdZeF/tZIeU0WVmW2IjLbqjrNLIo006amz2Rpgr6LK2k3Zzz+4WPAGpzzpeGHDORrWgQhN +eo5BkbMiR88+zlidbcxlh5TL8negKqxiBWDsUtmmTp8/IkonOc/tOIumD36OewIeslvoUVpw9CW RgD8B0PcdmXCQXLFbG9yZa2SwzV6748mCTxb+yR1lcQF0kcxqGsACVIhZuLrk+yYqWNq4+5tBTko +xj0VNjHvs/hTazMUVek2ZqLz1ATupeXifozAJyaOi2V+VQNQinp1ernyIgG7DUSqP3y5yzPueWv gA8C7LuUCjvQSr8/hhy9HHf4Pk7rUTEOt+EYtfmcYEP4oLWAtNoaX+fMr/0jrSh2A94uIPofGSSZ rIePp15voOCJg3QZYwnZzIJgjwuOAn74o/Zp4UmWzvSjbh3qEKPY6/4gN3CFDvVaSCrDredX5IxH TDYT8ywNTmqMAEUBc+dXUTt6FCx2UW2H2/nJE/9Y8MEL3rZpfMusRDnWaXbXbnl6ZQgj3zdwFRI3 KU7F0YKBgwAYjFVE3U3djvpBV2dW+X12QiwLkf3j1zY0ic6D05mlL5ESntchWxjwzM3stKyjFNiT 7rgii9qN1p/n5M5bpq3/rSP/wx0JpGh9ODkA0P8WBjpoL94/LROkQAWOX6kEWDb7hmGQzEM+ukYc HKm33/CM/u1M/FsRAzjq8VG0QZUw7XQ6lmq9nP0tYa8YoGyk7N5OuGBnOjkJw0CyrKzDz/UNCFIT f1tQpF66HvBDpccuq8kqVq/H1X3iucWF3/2Qd8AYW7aJ1OII+iCZbpxJHNV6VKFvbma7DnJ4bNl5 7eBGsRv42oefyj57xpFEoAetMqTVH6gQfvPsNoKBNfG+ADP4qX0p8ONDyytMCI4/1FOe1J1FuwyP Uo2TnOejH+tsSLZ+e3+HhFHMWfmh4T/Ahjk6uwIb7a7r2njZoDUjFIja7fsEcWwk/eg+SJZxplCY qEuw8/9lafehiwVxpWbbNLJeAj2nxe8Y8i7Vb3hu1hnyyYkB+HFkj+GmJFbHTwxXYW7co4PiSghh YSSHrjoRSlPPW/ERWOPTjKzELGpKlvohOYloDL7TUFvJAYBiUk8h/JHp1o3oJWMLem/sVQDXTDL2 rw2ELhkQB7DFS1PH6tx0Ure/rEe4MDWEgnMX7BGcKTBWYV5i4OUHgJC6yC/O+53d8TWZAb/55Dc4 vDhdqbdPtV1t8H1h83m0C99gwY6Z5aZZGFvSJRTTDz3KtnIEBUgSSEfoo3VppwU6rFwcjhcxKJYc hyx/dIg2wGBH6iyadX7DollZO1DQhcKmMDdtPRLhcEKAsBd8ADPqyihuCXy6zpVd7C/90rGyu15F owEYvPU9zTW3HgiEw6WyJZFDFKyagZJbJY1C+VzsCeKN/8k0csRaG8Jc6EiXdTETXtvggGyo9ld7 MhAxSSzAxa5yDj5YYFQ0YSlSI3Cg1EBputrKNESbxT6FfhF1lJljdvABh3tc95hutwENrIz/cRW/ jtvggs8TZvgqXk6BeE920hHCeYTh9KdXEGv2X6wm8bWcEKZfVS4j2lBYabx3W6soPHBBKyBoMn4V 15auUk8yWSAVJlFL8esZu3EHRMRpwUzAbRpbQPfL4Rb8UQJW8KJizfUu5zYHC0KYfGzu600ysuFR kdRHIa8Zqpjx2S4njqAmHaP7wbIY8ZQBUawFu/HtlCiNB7qTkauU15oDWTfSpMveYvv6h53LojgM 8X7fFL7k0hVotCXLYrWoLH/k32kGRBH4woGTDT6I6GOn57OlKBGCQMU703P8lI/BlnCkXGz67dLM 7JVUhN5sO7xEZUpF/YscA/Xv3XTRgipwxBvbsFWECPN6uuAbOJlCEeJGrSOwX6YWW95UxFd0YRpt 0zCQpobZY25LUsFjb54q3Z7mLSf3k+gsNwZm/gowq94Q4PDv/7fm3m8XbsJUXMUf0eWLTOt5foYx 2sC6d3TzS2y+KNHJlBXtWVKewnLwiRxAze+6CaLld3p8Z+ETopwQwHFjB12BmFUPW8TaQtOAb5PQ BxHJm7Uru1mBUfCBAD3lZ6DSVazqdHezYK91J20RdkJwg72mGMrQKBfsmMHdSPBvrbeE4iPd1f4c rHTIdEEx0jHHOGAuXZ0zkrHm9uqp+DIjSsldvWGP9D38M9FR/7L+7DYy76YGUVbtNcUX/WujuWtp t6xHobJArJF1VLAEkKXgNP24Jtcxqa1m/yK8Z9RrdUn8/DFPm1HXXi0o9mZFUhDOIb+3JcxxH5+4 sy5mhNFRiKutUpXMEQHC7e/t5Qdos0PLH1UyvJrqoEHuBS0jyL2OIXLoY0dZzhwl0X634eSOKWAC r15RoKLrLIBJs9sw/yvxkqI5HHooZP1t1nQsfHxNhJ2eK42SFPon3mRUFpooBl1kOOlZpv+UbY+2 imPKO5u+Cu7RXeYoAQwVSrwO/iAwvNYddi7SBRaA9PkifnOVVetoPVLeoO+PEKJa4UA8EZ9DkWQY bIlHx/uH1OBp90w3vZqA0jXNoWeneUU0Zj2qt6R4bxLwMHO8cCwPk0PND2SGoPQyqQOS8H6Gud6H J+2dZ3RmGEHw/MHj8lL3QGFQtyThKGImR2wU0wKgs/H/bnWL8yt1XsTcC+Pi3gD99HXJjOCmebx4 rUrTr86EUPnlQu5FpMgJ+pvmptBTSXJIDjchcc/tZSoDhMjOX5pNuVKDllttm9pREaOYhT1PXcnQ R+t2Y1a3rvNco+vZWvCmgA0iTKqoBaQb3jaeT6rjbpQtqHmE/GCxErisrnL7phc7lZriL9FurTvB k4EPknkZJBVU1xfC+spkysKfPksRgf5z17mTeoNXhA49B/lFwxjAKnOuLl7PbxPhfRnIYVO8jQ2K 7/Sb/D1rgIuIWeZPTqw1PBGbHSiS6mn0tK6ydwjsKagIy9Txnhsb1zTjVX6XOBeyhFZ/ovZzCI4Z EN30PR1oJh10PZ/SA6fvbJKAN/bk9MqaTGRVMYDM4EPTrd9atpyY4PPXz/JWfzCCD5T7c5YgsTL0 klwKHna6nDEraZwccqY1E0yhOMqdNwRA5KHkrmKq6+73WTLVPhK51BQjuCIFGo4czifYVG7YY+hL yUTaidNPxn/GL/cwWcC5ytHpGVRt9GF8jXQzVDrr6o6qeD+QJDA0LxBsm7si1JpBpQJIGCHtTs/d y2S+FRsFGEoM8VNxbq1gn7ACs0OjmVDyK5EdGvSdu/JCDnDIqEdk04aN3nrZYALwSZcKYEtOQ/Zc EtGA13s8FRNt7g4uuBB3nax4Ev4N581/JwQ7bS0L5InxasNFRVJqJ43MNZAnCz5G8VD2DMiYMsVY /21Yd0LSMVf8hzoroEND9oii7I1nQjblGN/kohKwa/l0XBK12S/o96ofbW54SfnLNAHKpza62CY/ YYtsncFaEkTQmbKnTCAqpY16epIfcoEuvJEu272xVwuP2ChQ7F8VjuFY4B0ymt1tYbMzb0ioCBxr ce+hzewfZM3pAAMZSArTWdqFTFtjbYmdzqRwc1+2U8clIricZ/ukVrpizcg+dBo6HWP4wDk0TPda lZY+vI3WyfcRKEhfwZlDQAXDpEl0wQFoAWSXab/aL7HSYJG76+vJvpbJFFayFh4dV7ZLYKkEc6lP 6a1QHWhmPXwaGakNkWaErsgcSBDBmtaWHj0C51L0ZfqubRKoPqYWTYA4ur+Qpoxf+uMecrff962i u/aq3JbuJiqFHj5+1gvM/067qr5VJfh/3dlOmJlrJOcFNAB45psur6x8A+bJGv6VMOzCp4vPbehG EQWnkHOCCSWHTC2xjjt7MNCxoaA0sZwlor/h488zwg5gsHirkHzXXJ8KvZnTvl1qOlHJ3Wpwh9xo IlMgB4jc5obCIiKc4OEnxx9s+XgVxF76nsQItOfv6kqgfmFTD2zS7QPq14pfKwhDk+xp1YITnKvZ n5pZFy8uoWbJbUd2QJCk02qWULv8GHo7LP9AhikX8mlCW7BAch9SwdDpHikUErTmxf09zM01++SZ hQJx1uISad2Jnnxk/1Bt45FsQNCI2txyPjQGh3j+tgavVhE5gGs2c3pYv2eQqezHVV0WZ+9yKwNp PtXm8zACDrtbhQ04VaPek60GRHsNP2nQylkF3njDJNhLQbFZ6sDmEEFbiJLVvoKeOu88pu4mfnbR RIkmsE6/Z1L8QPi8d3VCvZBf84pow0q6JRJTuIRs3/0cwDo+Ni7hj621s+GapAiOokVMr03AxXy0 P5mnh0RKMnZkhPOfd4lGFETbXkr4Z5lyAIgG0DESolQEJGu1PCQuFRcCEeKqkFjZOX/JC1VTzGH7 z7z801NNS0TC/SwhwGpkRfhknb0fzyCNAtxd1A4Y9ogCnigpfNSEUG/iYHzaybx/vt0Cxu3TTq/b ri72kBrc/N4IsC3erPjxdktmQiZPccrrx1P1S8ew4uPNQXzQ0+hDs1c80l7RhMIKxCrXDE0rq6oL d8p+YJFuPljF6i/wp5Z+ZzzvdQ3LErj4HXiMCYkgkc0Z982A6NE11nbaJU7jzQUuENKeKuDqR+nW MwKIUCgEW4wAM0IN9LrnekvnSah0tfdGHm758c6YbYQELZkXBt2BiPLZj3P6G6RYP/L8TvlXn9/l 2noA9wk1DLONNdeF75rFHgrFXppandNQbuDNJ/O3TZDRluw3H0U+Gl76jx6iZ2E1K9m75WKJpth7 x4q99RapcJUZ0cvi8ICN/PsGd4sasqpMNESCdEgle9yGX+PaGv92NtxglFqhLQuOYtRF4gDvUiWd bNbj07R+vU902QxnQr2cwHYUDjB6izsak1bv4aCrEjh3/dWtF/RISM+QcV/xfhqU2udWNKMiD0Ul AZdU/2GQkAIwdSckB1fo7n6bKbrra2S6SGScHZSb2+AEm6Xsbtm4ZI2fTSynRr8HNnvPrsxOxCln W7j4S5WZPLgqZ0TZMBeXhBG4Ssx3ymsdMUZ+XonQxMLsWLKXtY9hK8aK/haLCWTjbP20+4EELVy5 uIy06fdcieB69zJGODAqPVnoYSi79V+egU6xjiueGPOYzy9FmUEsanHGzJ1xe4QO6MM+Sv5bmH2F okpDkLoB0jomwn39ysaPLtazopfWxazgBdueCE18Hvy0ordjNt3GBhq4HVgZgxq6al1A0+WN91iF J2tR5mGtovEw2c5tlQB3XMmOhXPWOXA/XfMkOJUTELBVdWxEJZnHQeKOaPuXgCGiZfjf1tS7gEiU fLqSueC30qmZPuxRYu4Dmb5nnEg3oXEvVpnGiDrfa8a8DyrCt0ejAfEPlsEXCw+8RPfeA/HKE9Ux IR+9aNPHCkLCOAKhfK3G55q3MEY7zSY/HK+JQOpmKMubiMieYKe0kmoJ9CiGL/QXHnFa9Ji41tAQ fLSZSEM+Z8ejYoYMCzPuikejZOebb6VOjyVLSxRxZi687TseOE9ZowaRxtEIf7M6Y78ZBAp0yD15 KYKz0b5nTysr67bfbIERS0y3SxnZ671Cn1vMYEsrrteVOt7iTKxpTEO5sJAeYo46ADDT2duNK4vj 44CSjQ4oLEu/tgB9Qk94LBlkoaNO8J3IeZuuaZeAUa+y3Pu5rrgtSzX2unZq7DK554HUNgyFbuK0 eK4V975iegLPiAvSJvkUxbhMINhtAYCcVhpAZwFUuUKswz3ObDNL/9UvRGuspJ2ik8FubeuZrJld W9m+IUkLF+Is/m18rzDBBMsWve4OO1ssISTY10pOyRhcKk7WNTFzzEM50ghj5ytfP+oxwLrUEUbp eCHgF8aGf84aETI8xzQu+RKF7b6MF3GWgqzECdN1US6PlhQmzFK8T1/jXBxh40m3tHd20oguWJ4a jln8GfarRRFjDdb679d6RliosGkirMVO9uTcm5gzLB6zSo/9ba9dM+S8/Ztiet7B6Zm6iCjm4s2A gZXD7FnwefLm7p+DOwNFHZHwaTjjDwjcv1O4cSEOgW70/CrwiWSlBQYSHXWNxbBNH1G8tYqLjZsA p9Hhw8P3I2b2fbQkoh+CK3gwAC3p38uQiC4rgIatKhzSrO3xyToStd+cS/lOcyzEU82qI/TGzzcF 2hACKxmaxQR8ECas9F5QQE18Sseil07Cy9dRyDLH2aDUyz7mWAXghfxObWIUAVTTERr1ArN591Xt EkuFJohQuetIADa0BZgxxVes46JtTnkIb3oAR58xqmuxCW6I8f92rQDPJoUJpy0Odi69qahpW7Pu fjO0c+b0e9lpWBfvhHmwfSrXEibamALSxI7YFCzP9mLV88/KzEUe5HYh3Q69EiHWzDhQEF7ok5hL V0mbR6rFvPSah3iyeoLqhw1Ayn+q7pJev2XwqQfQ9KAhKvQNqdcCtM66g/Fo94OvPgpalhSkC4yJ ohZBXTxs3iAGZmI5xoMHIrWOKAUNCGFAQFOsYbAfqTeIaAYAmgqZzNKrc+bAULRTjnbMJwfcrbRD TOyo6lJur8aet3kO7TohOGNI2t1W+H5NBDMyEBIc9DXUfIGjlMdoY0qSFs+SWwh6aGq+NVRsHHGa whUhIg5gtgifh7QNzdHdDdAOi2CwF4bqFX8B0PaWrxOSsdhWq/vSvmusTiYrtuK20LkJhiZve4aL Oq0Ysn18Rm1+eGmJA70/8tbmWas+boYhdvDtKliTErUXVuX1Mlg1l1LcVlEcdss+Ekei4YjTsuPD NPCKHQObwhxc8OVEx1BWYJpU6Sfgt4YI7TPSbKra7zrY6D5P1Lnx/0kgilK0AdeQnnB51KS0qabp SeQJO2pUZxb1hWx3IeNXcbMxlm39KCuNcc9UKI6ZLnepxXlkx4ynmCyp0dWrs+KJo9KvGv3i9xnW +7YxFHtwoOny6YsdoOuLcQ+prg/g4ZaAweYkn2ypzfjA9onyCZNQ/04C+n25QOlktPlQd22K2HC9 QGq1Pe6zltTmoMyhhLvEFJENwM6KWJfB3ZlfJJXF9TIyj5cmviPcs4vCN1rqMhsFJISTaeEUxZdH s0SxBDJAkf0JiUojkmpy6NR2RoSU1qtAaADAnhuEOjuddGDF3NAy3+GeQZRAibyQ4MccFOo3yOah X54fan5bIw610D8A36NdOeyxdshVz1hQUHbktooTGefKiHARQ1hC+SuFe+SCs6zMhOo/7c4W3W1f bsu/dbg09Xf32ocLE88M9kHxUlDdpEB/Jlu/MlTCZSCftm28LYITeRNrfjaZpeeK/Qoo573+nJR1 pKpF5E3Fk8uD4vwX6Pde4HOlpyKDdRv3Xk/BC5jMasDiY3fpXKCP0PHBn6zTmtKGujiKgalPHaTA mlWRNOF8kBJe42bOnPUeVgP9XM1MdFXASxxA7nt1BmLS+rlzXQ3e96erVw+cLwy/aZaIVEoDL9Yj ZUMeHsr3ZWr7l+GrSYi/l3QylemGdMOLc3/B+rQmcxI8JzFKhZt+tK7bB+8iVX38JsOSEWjZY98a MLOLWbS/OEQ/08Spa4cCfsEsqP0vmY4y/xecBxnc+L2YuQnBtmdADbCyIvXcrWxxQ2RWoemm6S52 Tx2mB2IarH5nBPWGtd6sTjenm5QlZkWUzuVdNItc3uSU3PLJv6wtXyNwOyZ6J6x9UugvvZjh30WL fcD7DgC1GRLsBQk0IH/Ll1Y2NAhxxNepQXngs2gaLlI8P30lMASyISfE18qIbAjmnvwoSvmU5EiD m97U8xQVo4MIN87tKBNcuy6WihjWaRptEtJD86cVrzblDRCtHaxSHZdo/oiz6vO9ETnjDZJDpWac Q3ZFUXLV3/fM06qOLeFyrldEDSmcVm/JalLulbkDH0T5ANqMp6dJudMCim2+8io7WQSYdJhSvYdE Y+2RJbYyXcT2zVRt5nTUZmbGRPhjor5K1odChJMoLhU06VkJFK6kskI3lDbvkb04EtUA3iL+ehwG bb213l2D2es24XQ/gIRfK5qsu2pEQvCt5pBuwjWpZX9pPkQmHhUYvNtecmWkujuIOEAlCfj5zVxB upXVuPqnDP2Ox8Y0WsuWKlo0OdoTPqfEly7MMxXk3Dl5Sooxv1CyFhecpDs19YuJehnzJFdz+FqA fVrC6NeDmHbsbkTutuTJJYZC5oEB5JSef7Gbaa7xXKMg8PL0ElJ8xGNhahFAqKOBwU2ctb+itjUY loERjTn9XMfl2SqNtuJAdPMjEVh/fNar4ILEhDzJKAqnEsfXqC/feS0bKpAYOQ5DRVekpXcx4o08 1kpgFkM453oUuZCfJ6fQyophbm322wGYDj9xzh5HrGq1fdyHjZf8CC+0tUzMlI/V2Hyhg2yMi8O8 W8AMPg34Y0bdh7iALVFq8BBALukc10IdDZh5dsq+A9xALsTFetVDgjSRs6QkhNoBE+w4D046OwyN e7GHCVF/JFcliX5KABEA1J8rHkrFIQgNjeNNQFO7TrolcPbpBAGGdEGXAOK9ogv8nHS1s5f65aSI GZyhiHyTGybks2kyqcj+OB1ZKvttIy8HCnoZ7W3knKxcmcaZ24qy/tjIDWGTHgzHCs2DHNzKHClI yK5FebWR2lzw5h8yUeIHOW3uHSKIflrDT7E3PTGBphmhWfQUT/UzVdZIOchWGMGNoGMy/M1nmb/g 1FkFrdpY1qwkmlKkuxL6jw6eaTUvbVrRj0tfKbAArtr/FnMQxoY5VL6S8sWQGrKPJHQFCIcCZFSI /9gQKjxvdu9bOFvpchoSTvZGJgAJjvZWyiH/+k1zfSHakquMlHtT5a8rozDmZCKGxG07zJ8p2qHE OS9GSxRbHCh+V4dyDsVwYK+eHZWFacfCzpPJ6zJ08PLxLIA5mpNAFdkACaC3sGlEZ2Hhj/xqRPz8 QHZB3w4NQmwIun0pkXIFDYqXxuFZxmjXPT+/h+Z58dhFfnZSD2T/RRysv9ZtDy9nljt1tU49Bp6v unsEs4GBRzrCC3GWrk88fmMU2uhZCgdMABeErZqCVCt76ZGMitzDsFuquRheTQmUzw3AxhP+XrIs d+BRYarAVO+olNy0Lgagj4x9lR7OjHcS4PpbSIw51HwaO2OdM0/mPwnUEl6JnVHtQtMm+OMkdEOi LMDG7Vfdt3eosTPtAf9Cu0LE++VZK0OcYsbUvSMiYNZKIHhqOwuyGPO1cAM0GZ+WefHHNU0wsIeK 19ZAaJ7cnTaGqLzaNZyDIEUrbMOa0cda/cFh+gEe3EhnM/mvtisR6DZZHKl2CNSWTd1vUha+ApaG KpGOo+3siFuxIrEqNe8jRGeJVaSB9C4vIrjBNHQaHaf9TSBQUBbDFtoOVFEhDIZnjT77lAj7zAaQ 4eLQbHQ63E+k+3LDBwVin17o9qEa5SLfBJs8kSZIXVUYGaMz3rPv8RuK4XilZyUqEB3DI3JYOVSo As23K7VEWDLjoWyuznlwACrsOEOeKT8F23iOJe8KAjq8OxgocKRh9YyfqKKz2y8CJXBWQ70hBYQ+ k1vFM80k/iEEjeuPO66GN/bmHC/HDZ+LpZSe9pkAIUSICWBq5abn6pSbdeuO2ew04suhsDyCNeMK RzmvMgKT0TUe2nIZW6YLX+U9qHBq4eMTwRwDSlu0bYvw5yEUUwy52lLO8DmFyQmG02XKnxwkR7gS KfCdX4q1uJraWQb3jFGRpTAJOEtoSYb7CX/lXPecMPd8HTkq5h4VLxokAatwERwkTP3P5f1WzzWw 3Ri8qbD8oqp+hesGMZUbQBkQIX0UaRkoZI/xPiP2BqIiEWfv7GpkqdHguVmF2xX2l6TLDeHb0Ws2 WExh5IvjF6Nm01JmxGOpoNDnDLEkcGTMzIO8Jqz/+zJvakqzwcLKl0UKucdwH9Wu6uywLL1dz6/G 01GciBnFmfl1zLP/7RH5PU1ZMt62Fb7J0HHM3hr1clMLagcpM2ZXkP49z2Av8NkCEa9zsBV5fSfW rTWZPsFd6tlwTiEQgolcu84Y+WeTSGiDlMBdrFgZ7T5eySIn7qQpPIjDwu4U26FYKBX1Z3VQkoPl DAHVJmDVabLUOOPtFWP6U5XnrBFw9m3d4+WsoMtyWm70Y57M3/Jb7H42qXdTlQNio5trtj1LQsPN g9VDajhtzxBEy36T5SI4ZANWSQJ9wmyf91Yu9ZEUOzDld4LU+vpE4IN9whTV9uLEhBCksM9D3rGy A1m3taxwBhrw1jh20saWW++UK9VqP9JI9Bfm8+hYM0GQmMa2W0VvThiVHe/vwDtQBbltH6DS5ZS3 m9tH1Jzd9OoxXOEQjLz7i5N60Bp1oh8HT20wqTCkPwlFsoXiRqo/AqaNNBcNCoMi+OboHPNs5jGV KPJWszSohMAQjDD0HsLlBUGOIk0A7ntv9F4hq5lCWOySOfXG5ZXDX5489/Fie8GFh6cSf+/K5dp7 m2QNLxKDlo61HJFtnARQCbjw3NX4JrnGOTmjLqxcJIk1ASc9KD+Pp9ydchQsfLlSEzm+WxOnJRLz s8K5z7y29dVyo26zLF+Y1In2ErFxQRK4xsKHdbFnSgT0psNTuOGqn8gu10r56pZAbJ05GMS6+FKy CWk/sjAus2pRo4wM6pP22Udhos/jm/rEfzkXRLuDTlHZm4TPoKBSQtzy8mLnGjY5CPklMau/jhhb f4YHq2v3K0TVLrYxbno3rR/E0sZOOCHuuLBx8NO4Blsjjbl3iACRdpTxglk4bqPG5C4WRLXAxxnX UC8ai00zdjb3wssg7Jwab2INhlgghUMk/W9Vsghhi1zSxH/L3fyx7FrrOZAZkhab/bJT/0x7L6ZN 8R4181erq50SUSxT2ERfYRExBFvGjZ1cK09OLGikkQ2RL8aw4+mp/IXcGLlzClirzJs9m9ImQwrO kivjiWT4ZKd1o3zF/nE1g3TZf7ac4AECFW+xIeh+FNiULZUj4G71Q2TW+zSNCOUTeuBTB1qV6wpq QzHyfBt6p+hUUwNl1ZG7rkN14x5pOv4WW7w7i6pVXCBcrkP/rPrdxnMY17KrwCP+VQK1R7J3XqBG uCQItkzBOhTGKCmmw8feNbSAGc07GnBXaSj/KzIWPZfhSYBVoQj+3X8vj6vSlKpqb0k00zBgPgPf PVqhHHr0+gSQu78N2ecYhMEjUhIvI7i+NPnIv2DzjWL6eYr7b1lWe2G0AdQC4wj/xEvlDSQCmY81 llYlyRgMftxj4z4f0NN1rABsga+45IDkEoISi2hX0/lnSKqqVhxoJP87FX+jCo2auPTpTV9qXyYG Ea/5/wz/QQnDgUo1GOJQvT/RGMuP1pvCr18PCRBFugIpd6G3gG/O2tRrWeYd9ijPhd8SRD0W9uBG y68jwfECPuv/nZeHh7+pS3Du0yraMoQ/ygA/gCZ3+4vCFGRTq+X3OeDG1t2mfjTqkkj4+Tny5C7a hlKzvik9Sik/Fv9+h2DYcMfiZmmAdP+ZyTjuNLZe6VFEuO7EhMRHiVlXphQ8qhEBE/EO9SOSqMEt HW22KsykCiipWaAlB2pkDimoCLM5ohP28b2n6/WCgpeiNqTXqtxAeY+uup3WgrN+Mw7LTRqJ2j9Y b9Yrnxhb0lwWs/Y+lMfD2k2ojR8XB9AHO5jktxhbGKZxlAnqEw00X8TDEMLoy6NxqSJKYBO5yqQE pTdUEMmoEvQYGKhj57DTLshak2FL8P69cz+6gShPZBKl/lWRZ+J1Pj+evygNcIY1PQEe7Y7FYL4m PRSP0HPz9tWcSeOnWISfF6inBEwCmU827b3aLUjZvUGmQsv93S97btCI7vnlOLEWHgF6f7rh2r8H FHYLY+F4qoRM57Q25BOONWS2lLpxgeltBmy1iYw4b5qI+9Vs1CqkCLqep2Ma/RV//5Nn9iJZYnr8 tNaTTcQ1N6bE2zVbYcSA5WED4Za4h0SvS9Ws3jk0Jzoi/rpbKl9ImX1htxB2hgpuun8a9ckkhGPh sfnQ0gkxDD88uu30g/mwAkhwBXkD0Wc+SqGtyL4PCP+aZQpQ+BdbeLr8TgEPnBknx9g5/ZsWZB3K VicMlpkyCff1eX+WpVn0tWrIlPUpuT0a5YgO3rVoQC1C7AiAs0i1ubz/CUgx44+ATZ6KPwYM1axd iVBtIMznJ3Oqo/yhkAF2lXloaPqAuF0RqNXCZuAZ7lC3d9FqZ8SV+qFUJhzP8QEn8TdjFD5vkNtT vVJ2r9y0kOUkgsoNhfzUj8iv9qUfvlnBFVpBqiP7RHLMw5dX9Nzv4ORqda6sCUhDFeuYvzrVUonL 7t0lItK8YSPlJ5oq6AptMhPbrdK0LtoaVBzmp3g20mHkHQv6cmnI1PYx5Y6G2WVv7jXsKrPN8zBT iskTWoe3ByAsbmv3HqUv3/Svx+Fdv6yqnd3tPJapS2kCDbQBUoHU4oIMlVK5wYa80mjOQuTYBogV H4zV6uZrwz6cQ2ehMrKv0QXzzwYA8tyvLVzqVjrET/TJjTWLZ4qRT4740gkbcnDcSDwp2TCtHWWs Ja8zLy7l3x26fybcMleLu4GJX+pfyFVp1ayNW3aRk/XxGYfOOzLRIe6oJLBL6eO3O7X95lPes5CD hdPqyLrKCpiukIHdUbdQClBrt+pmQVLHaEnf7y3wO4t6wFoP+Pc/OZ0IDgTr6+yv2NdPHRF6n1d3 HAhCZHoZOj0gQ7hALOfIfybmss2rIM05F8F0xWEwIClI/seMs4S7sNI9g8w7Tz1B2+KKEtlL+Etu NWRj6ZpnbQfyGyb4L1GcfF012WMclQNjLSSCNIKZeNSvpbTq1ihd2Opn+Z+4E+lBg3hOdLKtY9Bj t42hj2N3JX6mjDacGUM2tYCg51RXwBkUjPwfGbQNliffaF/DTByMcAJOSGNVb4PulFaph00LLgMK jYCCUGk27z2kZcSZjY95MfBnG52Gb1s6ShY5668c9aJSVUvsYLOsZ/JDd6rS62SSbULU5qlaeaqg MM+dqzBdz2VBCGTU8VAMHG3rnQw+2DPgIahr/ObzkGNBegIQqAJ9e3q4zLH/T4mhVRxmefTcGAny uNik081Y+FNvU8KinNIbTTphcpfOgliMdkQ66T5aBpQ4QWQAnvtfPqxpHIA0wOPsblwSk0MwPwnA WeQNdxHHS6SyvVijvCg3sXOFEjVYT174c+N1TxXw1HZ8KtczIwbf9g1jdKh/FkMh4SEbJb0qXqed oaNQFYABokph/RJaycu6JzBA+4NAluhUjSzPzuZLFz2HC22ocbL5vbjyS1l5aJ1wFxhMwZ/jRPMp bbB9PyvT55wF7aiuxOyHmhZt8fKvMMBAGZFm5S1NMvZ6yeK7SbKF4Tyw6lhNaOsuliIwDDQNEdMj tmVVN5NLcSELEY+ZZbB8QM0PJ7EHxWzDoupYFhm0D/SAgKTAWxsaWKliKv6QfDW1TxCRSutUrnq1 14pg6+oTej5X1GiO4WF5Ppn46vOFQy/PX7k/PG12Z7kjRN3yxMgpgLXxC9NbwsTA0bNG0Xl5DBD3 Wl7y6FltCJsjeuhdqT0qcfezeqb3Lq0yx3PEaLad7krjzLvvpCytVHGwS5NrNKlD9p0xYMBc5zlF EiXkilyIuQE6l0jsrtH/aR35VlHD8QyBMnYCtgTocIjg2rc0vRQxP7o3IXc64kz7nH7mNf3p6rtJ fJZax1vwNxnHKwV3TkCIGLjsrngCrrrINUgOTKyhhWaG6wQ8uhXEe5qh0SH8mXMFBiVBVodV2VyT qR5FdWEEq46Qnig+1a4F/pnUe7O8RUudoWH5JvpPbLuYETK7vHnGgxJbWptnyfVy8VUN+vXsXtUE naxBFY1r5HK4SkD7+VzOkXnK7xdmJINoaBUGphIGnJRKczc7jml+UQmG2PRLNCJuxJcN1Wnmnr4b g9lql8Kxmpj7T27vVnGAUKHJqktM6NQM8//sWDGfsbNI8O/tqKrLnYryGhL3b8M59sTFvnRyTTa8 8iLNcDIEdHLmhRDF0hYf4WVEkf6OEre9HBP6A0Hav0YEvPWWLyeGp1wN1uD3Kwyj8sJiEMWschN5 kEvBaqsBVdlC4XNXdbelizIemGQRdfGBjqV3gn3JccshosVeYDhqdACyP12YS/OzVy2gSOtaD1/3 DoDmiwL8+//Z4hWZAUdi+N4XaoQa7MN+lQ0L4ElDtPGRwCdBFNL3F+JTJxMkk0yRM9QS6ToxnoJE PoJR8TeQ7EKp1nLlg2c3N9iLbFx6mVqCUi11S29WVgbNjHYpqaA6dPj54MtuJP4ZdcmI58g6Ts6p HNhXh/XMqFsn89J1nPyllPIMcVug4UuwjuPA9AbnF5iLJ3rp5UT2yWpiu2u/15eIFZo5RiALtRJx 6b2ukeJeK6aX8reh6nDhLU2VsCDYm7+X/rE+Ks7xLeQikCqeYwnS0HXem8V4cyErJeAlrMEGllHW pmc/CyzVjr8TaV/ZxsG0D7blzZGh+uy7gnZvAPLlzt+UFRtGOSDEEFx1tlg0lMdj4C/jTQaT8c0A wwT/UuCSJqx3oXmZe54tmUOUFP6fuWvF6ddxDhLeQl14n2EKBlW+zX8/L67UrePFOFn+y/egt2yY qboT4z4SHDpN426+OyEcTfAH9j9GGanZL11FlJRkGuTedwwGFV2IIiBt6ECMmvGlcLZAUzdsSmAx ksKBdlege9k6cZj3eGi4ebviNpSB6LMxZ8Eq2sZwA5dtZBcbwt1YPlFBkUZS/pX5Qa6Uga5mA1zI Td2gpcMsO3aiLvPoPHMcsuiwFUlwV297bbe+zB3Vsnqq8yU/C0sF4UbgPQ4nFGkNijN3kivE6CAH vvghBX8FkUW3CI7UTUnb3wkUXANG9IAN+30dZBR5PbDpJBv6CK1WcWL+OKAqhF6sxkzEmZgJVzEL ks2a3QGmdnLMRAYE7toKkSA2434mHecGXmRq+EzrrYKVByvei3CLbOXjj8zMI4TNQiqU/YdpaBsl dQ8RIpifDLarv37rcHzgxI5pp34j8m6sYEviMUofYDZPW4ctSArvbKbMV1gwtBBi1gYIZsZYq+YM x4JxLTJ4LBNqiQUVEofvmJpoE8CJWRHKu3yp+anQeWU5lVrG4VK0GomuettmioeVDH5teoZmgmxS fdQwKOkYEfXuf1yxfgmUmdYsFc4O2zrNGONc36EdQPFuZp2JIkcJM8yZ2yajxnfoN5upxoNJ6OXM 7Ft/+Dlw1Z50UgeW7sL0hipyC3d7hkDiVUe27+seb2hW4fsH6UKEO3rSUODMHcTs5ZuUOKI1G8kp 2v3fypY4WhlhZY6N35v1ukv0fjySZd4TsPElD10Q8ADm6qhrpPyPLawbNCK/SBXn1SnTPV8zcJ5W GmoHsnvAYiYkCYAC0xL4HtUQ2QxsPfAGN4kQz411uVjjXD00sMHKlhVNl2N/CaMbcwJlzt2Z0pmx XEpw8RFWJNjf2Yoj59dUOzHqq5KrngdUCvbYle36XGA3wpRPCkqIYkjYwLI+8lB0I/D4n0Nx/PVn gNdGoXhbrfASuRxFBWNfUWMpVXXZynjMMmwBRV+zzh92ePenYyN/r3TYA3pQAvzGx5vUd4pmjxbt IyF711DU7NWyOsyPQLTItW/yuEQmSUevzXQiPQjPs1shCwBwUcPoXdClpzT14D17g09x3tbPW8m0 hQFKffjcam4TvSSVp992JWzjFbagdLugm7R7Ceoyb17WLYtsVh0XdK+VxjaxWtFaNGdBtf07Muug ycJGsk7KbT8hs7J3B6oHRCvK5lXBRzB6eE42ib0Isqx7VTGI8EDYfAkKKcqXW2/mJhdo3gp0x59v wqqFfPKZgyObGs9RMZjpI8fJJoDJhW0tt7ufabOX3coqo1Rex9iN6ZwBvopPJQFbJAp09ON/6Yu3 3zYsOI1FQfEJDgMi8N+Z6Rx5EM8hOR4RnLl4ZrAk5XykMPaYLQKXPuGHs1iViMSwjOM08TLOOCmx eoWM3KpWJZRJqgT8XxQIwp8hdBjXzyMg/9SJvMPQLSZTwhoW5rR04jMblznYBuW1WIgFNGvhZbfO h/pO789IZV7ECs0jwX/XbLHBZC0gUu0QqWqAjrEGTE82wDO/hqpKEKPFV9EHTQBqXm+RF5Fk+GtI u9Ss5Pmd/heCopY0oaOEMTPrfVYIlYrlOsESEdK8IWM8oNVe7tgCgNdxaEuO2kM1rQ8bJEZmX9aa kKycQXm0b2Tz75OuRu+UXLOjBUd+1rDfH8ddvSVfzG9XkkVJmn34xjU70O6kzpQELux/jipKtlwL G2hoObSiYCxiAMQsiBLheVfu5FTHN9m5f+ze21YQiTVTNXPS/yQ62Rs0BaGvZ5FJBh3HNc5Sl5vX m1AbsqOVfOONkEuWg1oVbnSeiSMgqd3GeUtMsfytWVVeKfXbMHmR6HdVUx+QjpJyo7qCveUpBRUV 4MHwvCSQet5wsX/0cln80prKO4ll+mSnlBUr8vZHkjecClgEwX0O7erPDShObAz1+VvTeb5tt1Kr M2dgrhifEKbEvNPVMxDtA53nd8PQT3ZS6XwsC8rh9syIkEkmIIFGp/HFAwgtkO17ahAUvxVy3Czx Wpg1tz5acu4ltXvW6lRi+TSAoGpjAc4xSaxROKz7hwlAuqnJltarK1zJ1Kd78/bmniLGFnwdUkNM zi1kzHyCpqUajWelAkUGSZ7yoPDyr6fW0O1rsZaJIC51qnRn6OpXTWNT77ErvrDfFVRwl4gcbcgV rGlhMgywzzxy+iXCbiR3kaqDzLzYBjBHzrTrZ87Ko1lM88ydaec0bRTf7ECqKrWYxX+0BbCQCZ7u nsx7lunW5KmLw6PB4vae0Nltnq5UWFJaEpT/z89vW4gh3KjHplHwPIgWjkCORVFafhKK4qVY17jr x38K/lfdEznXUAyYkZHgbrWMDnxjKHmG8gQ6OBRHp7XWFG0D6TckhY5P9RRO/7mZxMiSc+XVWB0k 8o7Fq2K4maaowS0qeRDnoJM1/S1ELcJKwe12Jn+3uX8FmVQlrIGOIKGF3BG1DbpJ8vTpQ9XHgh5d q6tnvLFbkBohksUECL4csFbTdlAxxN2iot4ZJKslSt1lTmWwgexqt4UkWocPSqaMQdrGC5Lw25uT 2dJ16msOvS6R5MRNMDknzPlbTQUMCnyoctbpl9Vr8SRC2//L2ZgFgcBhqWVMJYyFvdrrAl57fn4i kG0yoj7kyGl6joYHVNAll536U8edK0AQUNOrYNi8WW25QJobXELYVEea4eNvqHRjJSqFo0qFg+0Y dNGIxXQvMTEWH/ToPg6GjOKtxyEhF8mf5TG7GKUseOj5wRgo1L9mGgQXUOgLI4/9IkvGVfQNWDPH 0JoW9xquanQDL7m8bzaR7Cx0Dkxo3Eg+OO9bG42kC31kCmx+kfHP5bOgGAu4Nw0s8Emk0YGgyhbF eij48thIdeFQheOh7cLkgny/1O5S7bjyT8hYjxSIFoXtnevbbklWzh92byRKr3QBvcaNMLCEAwXR 6BywelOskQ7xq5mO1JgRTftgTiYAIIPtZii6RjKrUTk3Hdu8OVyTwsfL2SmaKJVT/6c7v4Bsk98O sMRX934BORokBiqmk+5nt9ocnFwg5x7IRmbsb1lb60vO/YWxdSo+T0OTwZ+IwhBDtSie68F5CPJx bgtIf9AKf4OmYgggroNnAEnpc4GByGVWKSgm6OaYIXPm8j4XupjSHvmz/ZbUrA+lUEY02Pp5zdl1 EWegGHMJ17LQ/gAou0LyDrJLmCHoK+3qpFb5d8izFuB1VA0NXYhz+sz12qi7SqwBSW7Ts1vjLC5h G50SaZnANjKcy3kUvrE/G3ZTecwRrThce5fu4uKaeLhvO/xUnnaD9yKLTNj8djc7PoCxkAGIDMt/ eJaisl9NXA+xQxti7nhsTo7Sqnridl4twbsgOr6wkgPMff6M92rzEjeArfNfTUFv91aEX3gIlOAz IGgyB0ZoYvs9O/pZYjEPBvQZCt+SwNKwvNvzvUHNFjDytdQmNJTIsnSx2V1EQAMv9iImYyMS8VEl kopNh3yY4v5fFvUHc83dqNv2XaopGW3gimzUKygQw+SJQ/j09QNtlRTCSjeAxW/KUkQEuxq8bhhh 6nYnRTaOhDHBbBk/e6ZBDPhaeVl9JCRUrWcnJ4Bs3YPJ4jb7Gx7v+v4Cbd4vGNaG8yU65TtIPhRM swcS5FxD0F16Xg9NmV/S0+ZeHqemcXM6zn7OqIUtItu9ozqmMrlWT1Y+F3zkQbWOFYw62n2M4z2q JrIea25mWnbDR4j7gKdHjjs/ZIj2TJylshRzI34mO2K8BpPCZdunXPhLlfYVeyBxtpvoVbqr/01B h5MC7D9kofotwqotclQ1w8v7/aTXOBb/dIQdGU+CvYL7uET89de8YNz6wUXi11OfDjfTA1C+Ec/m C3ClTAh8Pi6XkLU/0qCuUxcUg7/KSET5Db4XzpRpQMtwic52A9mjFaN0myGayN278jyyespMnYFM rFfysDeoRgrQgXio2eDPGAKqzm8F2kJGszeDkk4jZ66gn+UPt+4tuVetxQgl1g9ZiErI7dNZFmUh Dunx5c2GJK4N9ygkAdQDcbO7c+sGFqN+ivqMprCx+0diCT+dHwxPmobf0KfrcZQ5ZQp3Wz99H7St 5iUlRNojP0XJ996LzupdORpsZVNUy4sjK4AgETKBUV0SFMIH8EItMzhyyvA37vFdZj4eyQ8WVsfk q85XdRAcavHOOzpsZPC/dogwawLViEb8IdUPvljonTWTNUrqWKdO/xmgIBqDg3u/ayCqGIjU8iDx QRECajcLCg3D5cx6Af3wqjyF+2pSSH0WFdp6otTA5RJOQNzrwda1R4jk9ztWqB2Fd8MbpK5Wk513 qfd7Kv0T5OkcZiI3hiYwDCcxQX3gHZ3DXClOf/zHbtMQ8M7U2XK+Xd7YQCmWiI0WxiMtUsEK1yCg 7E0BW/2+UGI+3vPWY4x0X394LcmJcGFSk5TVeOytublV5GSKw0hJ+0bBozt92V7DTKgL+yU0kder HXnQ9zIjVQY+Sl56OVXBCSxybhy+IEWS8Z8CiU57AR1yNqkS/etmVeHkd5dv3Quo4a+C7Vpo38ox 4gnjVAt1idFjh5p3I6RVaH24pc300U4iLmYJ2FVh/KDRpwdGaSoZXMAg8NWbAu1VdgDTOvV9Svye 1cXxaaB6xU3qACX9GVNlr3eyhi6Tc3a4e7jKlsCernRoNxFfEkXJjaI0MDP+3QVEwO0gp5HtppIi LCeEiqPpD7XjAOHUHgKml1gEtp0efWiPDaKsQq+1S9ln9+/I3qHg9apJF7Clc0ClsBHVbD398Kh9 WG+QDN+J4YjleOpzITjW/eU6SUoVFt2EC8eWfxCKbSPRoWPpLapSrUd00IGMui77N6pwQ4VLY2R0 kB2AdmLcY/uS0Nkx2gJwMc+PbParVdE9zuTiwIHzekqDUBXUtLcwenkWqtqv99cigYMJTzRrLSui Ro7Zu2/tw3UrCegTghFeqZZRgj7LRDbgKH4Tvy5NnQZw61/JMdRGPZZ5/1ET37XaudkJK7FrPiep QwrK+n9qznzk3Sw/H2cmz3jUc5eLXktzdkVIQ/ja07zhsSjdfHFI37SK7uqCp+H3LPCdJFlelMQI m9Iu8ZGJkE/YPWp/gffn3KTHvSCqO+TjEYYMyoiU55+z9uXnSQz+JIOd97Fq8geDx12hzcVdt+rP 4MXFn0aQQsz7cf4sIu/B1Ve9p5SQYIIx9TEMg4NMoD115DWR2ICPwvuTchVaO3D9Ol4OXSu34Fdr BQ5GgLjePxO/ihbfd0BjpObHdSyA9hphEDpKs0hn9btGtCNjvcxdNHaPgTv5WehXEbnwl4xPPASJ CJeGjTbE8JUgUKg78SGkCRIwlOsYPmhwoZtsozYcUD1WpvP7lFkc2GhPmKVsqp6x2n9sM2leaa4h EykS3Ov3f46vyTwwONH8WBfRE5uPKP6usTiako6DWzWoDPOf3CdiUzO6hwMxpxZVx3x9DDmY2o0I 586NQEENWggVDTQwR5r76nzp0sq9suThOCEj+9Tfw5N1POj+7nM02CISZkSFjcTImVMi7TsEGY2x G5Na59OBMSGs5/QXx/sQ9BwUhg8f1efx/ogAcWPhBf5sZDreCgb8Pysi3GAP7Y3JDfEwsSDMat7p YfsPJUt4OIGlw/ooV+/Qmhx6BUOrBBGbYqeA6kaTRYedrPrAGyZUYPjm3lKM4ZJ4OAlDOfj8hpJW 2ILFve3ctWo88IMh9R7BlpqWXQ1J1ZxWKa+QlS+XCYgM+0Aonxdn1u6UNWSwOEegJ6+hlP7XBjRW CKmjiop2eCfVeoZ4lAi3YXuyfc3V8NGPd3HPU/LtGBs5F4EBou4SmpCgGPddnIiQLmVCbS9Cf7gv Itfw/d4iw6pz+F15FxzgoZEWuU5RQc/hN7keI9f9kXTGowriF6aBkVJ0BeqyHfzZWA+PePp+0mzZ GcmWFbPci5XFp0ROTmuhQqflMj+D3z8IfNl7Booqd4eYA94213v4h3PTgsy4eRXQeWtNIpvwOBef wM7OiA5q5oEk4q/EkWK2+pOn6zPWchzVBejFl/dh8SP32BT0ANvJblE2ToQxoeYjCeanzrW+LMDQ NrutYZ73SdJG9Z3I0ImUonNO9MNE0DMd5G9j4iVJZt+GLpSG6rEK/bc77w5zwRXiUpbeXFqLnXW0 Z7U+UPcH5kquvjmZBF1GlpoF70eVqOrGl8LnM3/bhpG4UU8Mj98tEUUn/u0JPQSWxxwSaI1UM1Ya ZTWvsSy4+M4YnwgQ6OPTTQhVs43tRlW8tmiIgYhFWeRGNCg6EeeeEa++6BX4NX+mWtbOsfvpFiR9 ucIpfIp2hCbotz2nodLSiFF32yGY74wxmnSqvZSQs3+mHMhOMr2BkRhWoA8MKGxp2WlNrqZ0RNjT 81yFfTWGUSBYJtt8jYem45ly9en5TrJA0DDQoJrMG8HSVal0PEp0FyFHeqRTuAuT5gZaFd/DF4gF W74JbOroLxsbXUSZO7SBF80Vg9VvjY0voMzLKplMdTwqyweFDQbdkDTpASIMKZOjFpW1hiYS7/IX n3/evykFQCnBMrmPGb1ForAkO4stNKg87l6SrzdrZxzOwWhXTlnhiw71RbHks5dcQEA0XUanQ4il Yy/lSRmGEX/0djFopIZatoW7RcFI4e5ZsodVXqd73s1BLCl94rtZDuJUPz6it7sO/iyUqPjCK/+Y oRGDuHoXaIF4m98KCl2FhCMHJEW4sRHybToQrZaFTmkatFTJUKXMKxRDy0wHz1FMqVPlSo/hFyfI 4yKOvx/gUWWmDyZx6q87BZUCvb2pHfKLJmUmt0kqYtvBIejo9raNes9ZoJJPbVx91hGjct7IO6yj Trkl1iM266d0/5TAeEhsm2Usp7mcW72ebhQ5r2hvXWMLxk+2Iy0einFeEjDerzEi57bNNTdoeZ8o FI93feIvBnwehRIm1ztMGCPTUuWhLHlStilpqm6oXaVpsiGbaRpKjQ5FvGp/wsY+4AV7wO10MXV9 MKk2UJawSYUlMG5T5T3D1vKQWZlVm00/euGnPw9ZpqKzetWu8K7bpEtkDr0EDeiZgKGmYh0hHGM7 P8Adz+UmGc6Juatb+rbPdh3vSDgKe8Uk8okyX39AJSlxBl6VnOKqXACyPOKtfGQ1pfX4HJVH+ysl 08+laNbS89f++qOFXTXKWotia1en5iNMx/DP4X86PfggqUqsDJfoezF8UXPS5ONjEYszKAOxCqSv PbnuyqIHj8OAI64p3H1H3uNai7gyr/oUy2Exg3T9ZqAiKCFny/CB0Oenl/9Ha8rpoHlfRY62c8Pw V3ia2gv1SZUNb+DDd5mX+jkZ32GpXS9gonggl3mNkkJAvCz1uLlH6Uxf/KbIUKIZkDA7Jd1N8QFm X0I5PaZ+Z5VlsNWGLCQwfMu/LztS+lEZT+Nd8DikrusskVPFX2HqDKed6vqwxR5AE4D2Oj6rQga+ rFKYpBKseWF29hl82WKAbNZc83UdW9XLeh9qf8wASVK8r2ZtXzhB3dyhpczRnVAnUo+UumCMFMLX gw0uA+uLi809W9zlowD2fGylnC1OUmi0ASq+yJQc+e1Kf19xwhZHOFnKXcvp5qxYqCeOrKHA/IKX HcT/XZNOj2jeIBwbCCtGE3dUi7KnKKJzKyN2D3B6lrLjUUfZCPQjpVI8lz/gCadyVZmbK7Z6JAol pmatiwVkn29s8hGQ/Bs7dOhYOGMSdv9S6s9exZ3i3DK5ZoTaDXlr79RpuzWCwpNGVqMRwVFmHCZV qM0TiRyZ+qSyCLS4iKyAWq6a+FZbCfakCTHIHYc5/LFX0/W6SVD7Zijt1OOHD7cZaQnDLJqyMWz4 c8GRlck7/YAEjgvtmqlPIvQxP3Hsi7AdsTx7XhcosxxgfYgjSUKNeQeltWyWw6qA7KgmQyOsPUFl y0SpAAk1qJZ9fL6CLA4zAYQm2iyraLKAFYUVcUkaS5jjvU0AKvqSnmD0iFqXXcVyqR+VOA7r5fhv 0HoLnODzBems3/hxKfIvAFv0M5rLBtxa5dtr4Bl6zYkNEdjBkmt1NjB2HeFk/JaEny1TfHXXXlkB vOXxCjZIlwtpuU/m09Cg4LAFsa3bOIb+jWX0+uBUVdfQaK24XxtDfQkXaNxC4blkQ1NSwWHgHdyF OAq6Cki6Bsz3B35n74T536S1QrIFCFNE40eaYKfIPgUlYWuAKswPFgNDV6GhjL3rZVXl9LMfxGKO H+EnM8gPA1BFKeQEDVRoIt/z6heFN+Ltp/93wVaOpiW3oQgv2Jss5fX1ZKnwt7XdDzxOYQj9/Vel eao5v6j7DZayLWeg2R6T978Xb4lzS+hvI/Ucunol3DZ5jYG5OsnOtkWZ1Pci9RUfxLUaGFtIp5xz Wj90ln1iRwsBtVLihyXIABlwZS4uyKHEsGp/i3/lWercM+5U84enMHoVLnUtoB1h02IyzxgsTyJX Xn4AmmxqM84/CUy/tb7A3EgjnGqUMxxrkfq5nYTO8r06/1wSXEWW3nGH8G7EAumRaMrRkslTtiEJ gs8uBvkvW1p2gRdWLbAo51yAlP2Wqmet1zfjbUeMzjPCQxK+MJW+3UUaCdVX5ozbtF6LcvcfA0UL 0DRXqaX1UiOrH4sTPwJUAs0mnjRo9wLxh+L3d/sbFEdxQU/3FfD1O6WKu+vH6HzuOofG5ytaW4Bq fHvFa7tfpzm3ZsyXURkyLdEuVNrikw+ynbp5gYKxhKA+bU39uvtpWeJSxadO4NIEGzi1zfWEyNm1 dpz3/nhUCG+8VrfTuis+4x02Y382M1xnI6dxCJPntq3QVIZWxhIuqH9k3IDf6j99wFB4S05HP+Ai WwJNGD0WPYdDVpqGJhfHOjPRU4AjxSTUZn2s1aP+IxvP27Eul+UXiLxhAFujZ1M8xBtLnJiKQg+9 rORDls3M6HQzcqMaiH+fb/HEuJ1y/WqxzwIdxmvc9UXAl6LoTej8GqK2oXGv7LRh5CmKo4akFq45 2DlhYuZ3QxpTyrrjinssuDJdZhdqOmSzWYfnl4yEuHeQKuIkW6gIw2D8V2KKzYeUPOaH+EITk/qs gKWTajGzMZUJQB/13Tvw8cQ92mMBVhpEiLQRyvG3qUhUpyzm+JGlCcpGhbk2dDuBJjItVejTQvxs 8hkjg6jbiJuBc1RCoMCSJKNk8NBwkqgvHnFcvU4cSLGQw4b+0qX/hy/wu6K/3p8mrmu7ktGeUJ4i MgJWZ7/OQHHmO5GmFlz8DsDvZrziDhEY/f/Cdo/zVsl+SRiOr7C0qMg4MYve+cdysPinu2hf+Dwe XGbjwWmIpJf8+u0IpZAxw3mjYyRjAM0gZ4sNwIBeVwvlbev7aKhv+eGUB+X6CO2Gd25sPKEQkZ3a 4Gl9ELUT7pz9G87YRPV3GW8v77KG9voL4f8gWfAHjufN93a1hLMP2tUy68uiOR12v1k60iZo6195 J/rfnA1AEA9UB6utl2UAUg+zwFzsz8JFCh9XbrvBrAk5LWoz40JVr3/P2Q4Kv/NOqmROZiYoHNuK w0mfuMJ+285HpLxwqE1ZJMQBwDzbqKxxrW+hVsJPWCTWkco61g4g1g6dMxEdhY60Q9ZvJp1FhEgE zxgDJlV9fJ6bk7sP+SDHeH4rL8fCZEVJWefjg7adZAmBFC15/n3VZYYYp/AOcPN7DWLnd3a65WX9 KzXsG3OCN9p7vlVenqDkv5ftVnIC5BXpj/rszRLeCv22jYbf2ujweDRAlJTyp6y/L2eeE55SV58e 1oonuP84aZfRx9WuuZzfZgl45i5yH70mEEuGyQvqh3XdRjr8y370Xw4EvS6AK1DRJYGOhRanRtp8 yU2AXJlym05O1pEJfaFNIoR2YUIFGT2PRzACBfqHZsApIWplAtEsD/BUlTq94iWVyFD3VEfpFo3/ tEAyGYDSngm56yTxuRYxVUtc9TKGP3I+0GpQ8qjhnDlf2dl7pzEo5CdooT7wDFwq75YFYGWuXezs evMZoj2UqBMmc1q+j8/MD5p4eh+EFrrFqhiCmQRvYiWAFO9auw9VEfWnGW8C2pNrixhhVq/wWaym ZcoymQ6WhyeU5fKbeYZQZTSShL6qavGXD3mTMRU5TebCdIuh7pmelcFBOa+jA0D9SHKNAOWaOS5H 7gLqdKdxn6X4T7FrjDJ7sUv1N1lBosHUMZWHxDBTxRt7+XLIflc0mpunAue4s86hemrr4pXds4cg 4AIYNDS9+D1+g5YTLhvAM6dQCwsB4vzErHrh4IiG36jBJAYVZL9AHU+R3dz0CjGy6/O0xAtY/qwo 8gqwl//TdupBPkyfxIu0en6+XJVhGfEgKdkI5Tsst2JJpgm+qiolQPVEuGumFE4HvBLvvYy+OH1A /TcvkQoW4EGz24QgnFy8SRCYg0z5LUlvJiuEeT+bq07KTI3WkJEGSMVnrXisWLa8T7YRGCf000aN HKUjp74j2ShvELvoUgtWjihiIKk9fJEW3Ufi+t/kpHQQrBuPjuDl+SXyWYE9q3p2d/d8RWwkZk4M x9hfW63DCCnUH7eUfn/zUiA26457HKFPlugUOdT7skJ+S/fm+k0zhxEqyQdDggWPicz8A/fQY/Wf J9Zt9idgactCKQQX/a2sFzYnkD5XoMSZY18ru6KVgIlNKVbV4M7iYiJDGpK54MhuxhaDgLlGZGiL AZsdomUWjuiP1216Bhru+fUoMRSK5/QW4kNVQWQ7jXUPVjUTDgl6HyYPCTUSmDTKO5CgN2LCrL5o NTxQ+18BENQSR14PgAy0YknLfflhR1acsW5ea4mOm0+HDhriejEyQ80KmNaCJENhaggSnRJExBP7 HMnq5uHhupqYpQYPA4G0K0ol51R2qU1uezhOZQ+dssdCxUxztirAFwQq1vHqGdfV1kiRSqcktOq3 mCMqaatuHX+cI5AEhAjoA4PZD6I+VgXg3nxKG0/Dowu0YASjgeSLk1C36j5NTfHQGvorjG0Ybqvy DM+60uuWGnZih9s+onuKxyxz3mRYkqLk9OO2q/srRL8bJkz5c9JV+DFggPVl71C1awk/EE2C/PuH ZaTvtUIFCpibiLb/C0MjzVHNC8Rz/szGKPOugCD9qsLLRFWO5bHpUG1TEGuL/7zC3WcJcCsEOAB4 2JiSFgwyt32ZUXdpM+yh6OL1DusvFLCHDbxvARE3cq9/qX9+L0/mAVr5SljoZForOoisIwIw7xwT qckap6lWsAe3v0lAQrCWjyGo2WFlmyL7lKan8+PciU14Y/hYVN3wwobF37IYAVzYXbzsUpX1v5C6 6o7dkETao557YNB2yU7chfEGoInwko34QySXASFTDRhLZtfbb9dhglgsMxSQxQJoiziFIFpl+ZzK gqtkagtkVEsKz/CSpo7ZMLY/noIVqEA6BQYo9qkf4zWXnIIYfZHIMHOd6BXnVY4Vol50V7IlVa8q FJjhE/16t8SdxUvnihUbFM/hMEvwxGbKsh1u86UPb0OamEe7T+2XH5E6nWFDg6v0Tc3sK1Zq5OyW DEVhlGFuRxZlaktz/5VNBN0D8G2aWkDS7Awjy/iV2MNI0K9/1bKjerHiGawhuHp1cP86l8EdRdS+ /8uc4hczdz6m3U70ubvzNTSmfDY10B5iJD6QBP5YczY0GPOF0Y2nZNnvPYxT1xit1+Yjj/ni0OBq vXOqEAefTwrhY6EKazotqMjP4YW6taSC99rOnAmJPl9rWSt3874kLqE1pogaDMTgbwI3uhtHTy05 ELxPN6eBB2837j9lg0TmUn+jv1Ll+EglZun4mpy2DJI3+MED7soGbGDhLfqFWwM9JGq0rr2f0HQe gtaa8tfZ9LdhtWIiPN8qeuGglQeJe8EEn3/eG34XdnYC1G+LaanbfE1jjkzHibgTsXZAx1ApV/bc BL1of/actNKp2mlFpW9kL8bql8TlXYUhAp3Bm1g8jNNGXGoOcsXgJV5H7srx20uOdazMv1VT6UnO KMmhYJWklEdxW7j2Z8ke1l2fpbFZB1dxkeK2IDqLOXrf/OPceiWL+zQMR/o9h4o7i711ccsk6csg R10j/jIe2WrT2aYu3/gXvnPbKvfYri2kaC7U2HNqw+3SVVwO/DGAbkaVUZV5XgVKhPKa/2uBt6Ra pILddxvY8vs6WAfxVAFnHyFNSQ1prSR/+snHsG/5pZEJd1u7+6kpOS969sayAA2kjWmsmDRkMP1U i76eGmwweBJpbWT05IAtCmZbT2GcZ/fMqP8WfgzLJtlp5gmKik1RnRIb4YpGG6A5qTLZTsx/9TUS Un0phDy4Wm8r42i4V+R1yGwkXcINNuqxGN4s7aUnsAaZldhBigvOOpvV/Uqo8nAPuFgOvzFMaQX7 0DPXOo0jojE0BQZzuzNHrCSnvurLeAeeguTo5idxkPZK8UOMKQAjNRnxkD1aZte/dn6aJ8raWdpj 95FVC9e4HJJsyUTyVQd4NypMvy37TawTlq2Qm7nUCxAGa3R3cmNcH3K2PAtb+lMUdOgVlvQC9yqa CsrN9PciBMTv9KiSTUDKrQwx9QLArUj0wOd1XYtNFeSMTyjmAM/m4/OZ9TdYIpwjcBEpZ6HEVYQG 5aIRZ2VpuvgaSH6VM+suKVHIKSIpbP6W6xtj3y9jjGmjRzaVJdoMgMv9aDhPqxGAsoEsMG1QPeO8 cS+HI0XGnoI4zpq8n6hXngiuBHY1UKEXJHZQTuxQPUFul/7j0Crp/U9bCiW+rEa4ZEajlEJSqY6B fUernSupHnVsGDajZwxmq53p9UgJuk92XG01CWRudNezTdn/56kh9bR8E+ZSydM6zR3yj607lM5N G4zPTHd5l3xfYpJFOX4iSRnjGo3ybbfUyWYveddJa8qbWoOr6EUG0jktViileYfzGUbOg+4kM70O J3+VZ4G4AYa9uC3VXzSC3AZzrCGJcKyT5YbGqA0He3rmK1JRrH8YDsO3vWjl0kJrrZtBIgoy9nmF zHLRc3YgVf/Fa971+mu9CuXQFqYv1JFsQD0v1Yle2VFnRmTRordvNoni6D70w6cDUSqIkd4aVbKC unXHgduWnDH9DXKxbL71zvqmuik8Csos/ASXPnb405xG6mf+alPKCkElohP/cSq5IpMeJuF5lbTx mj5qnvTspcx2z8/ShAsZ/Z/x/vuFHvpJLJ0t6iCUexomtI3+KeYU8GFJxYFq+d9GGH1T3vw8x+U4 SSpqzbvXFL0z58jpM2PghBL3DeHePO8iZq39ZjSD+uSIY/acZtArKMBxMjd0cxdWI0WXcYNDFdTN 7hEpDL/bwnDG6HVtBOek1+aadFRnIp3J7VuiFwP0WC8SkAzWrEDnMtpq/srelxygiu7xp94hsC4w gSVDtwOvlVvLNfI5PvENWCSBtm05IX10+2eO8k6pcrE+Isjlo/NFuHoqIlPLvUx/GRl7DEgwp0x8 2wysJfux3Mh25sWEiz98oSAY/T+5y2YRpgQHhj3ibAVPyXiGjdufPLq0ZVjL75E2arRLz79wARfh jAdQ1rQRhxwyQhDyXJ2suoZDoGYOjwDEKgrL4XN/GJG2BD35XOysI9GQj/bvVOO7kBTTdUaIrHVC 4OCXu8Ij5KsmsZMXlS9kp6Zk5zIeTWE4WCd1hAxu5QWZEZPziUqNURguioHLl6/deNg3UX8r/BCY Yi4GmGklcehJnwXykCvEOV/In8gPQRY3agbVlbNMl+Zl6jHTBOFLledhQVvheN6Avz79Zcad3rv7 Qyb2RUbPPJ+GM7W9FyAMLJ6x1mgVg6qcZ6JfzwrSKPGmpjWZkjsyVXrx/ZSjNg9HuhMdoUNWuMYp iuNkGMw8SNWt9qGgjMIPR/fUzm/wfd3DLmsV2ijoKK5gyVAybu9arePiqzBpcNpKP6kdjSMddZEq 6HECcQrswbI7x/Q4Djua0ioVQmFcL59AzvFCkFTE76+YLJeo3V8mYuuDPjelaVfGGBX9ZkvQOjwd 6nUsx37V5rzgVPkXyvFNaZ7iM44UkOAHUQkst8Y/O337SXF/hYaixHJ3ucOXQPG5MfXrV1tK3tQO UofH9//ocvEIKUvEQwWTQDbh74B/bCshPzqURiq7TskNoZjm7JtpvxRzLTAsXL8YLmmmYnGZzejb 7UFQ1H2qRCRQZOz7byNnePkD9Kj1zBhYECReL51+0K2PlGTSKoMM3/uOZf+w60WAEPcOSWFO0PGc 82nJvfPUQtihkwvBSAXKtmJLPatN4TjKpV98kDyjHtdmW/L2FKlcxUShmMXkJB+SmNC8S4pqKnJP m3ikA6vM8J9tQufQOiSOOmErf1Y+9C0N+dc0rNDjZNUaeqrmFSBniOaTC/1hYlUMj+HLUyeKSLxn aaVYgtv/dD7wQ/oEmprgx94pkBHlNnPRaXMJad89djpc+erNA6JGzQRBgx3g15hfVyWEk871i+Nm vArX0sJzYnrblDIswE+358ngdG0fWRSr6LlFbzHj1Y5YfgMcA1lQWq/6KVNMXpTXKqZv68aWhbhP P+X/B8w7O9pcIfKfNLFzKYQFviRfBpi0FIffUv3nJ5IewEmFlwwuN2GgZVfuSLR0KhaSAB7KnqER EgDDI3zCAiQX4n7gmzrG9aSQi71T57N9pEcVlnIsKHl3GvGjf41XTk8392etKl/SDAy9TgH142T6 EN+uo45fiXEG9PKq0KWm3zbSVf/NsUrwl2k7y6WFc/aK8BqHLYX0onxOYXJZYineRFeFSiRqbHZu gmi4knXGHzq2zyr/hWCQJ0yl5v+rhOBh/YClgZj1H+SkpKfEhkD4r7f8yjOWcuRY0qi6VCBCA7kG 8zjZ5AJkrlK7Zdfagcc+cKCFwk0xMm5oSoiHuXB6GW/MiGerMrLzz68nARgqPGk6/Z2cBXhCcObl PIDTH4N+AjHj6g0KRp50zxBkyiSEk5VfZxBt3vpb+JByehj7/3nwgBjXlIzUQ+QIECkWCH+E1DLl w9RyELJiGVEY5fURTpGVAhcdbK9DctmLQxLXLKrtdTvbEgqpqH6erFKGwhc+3iW5BH5AfhZ3FY9r yYWrKwIy255S4f9KkzEOvhCf6ZiFWjUjKsRpv8lpXW/FF7lIevjm2wIY/JCZ2Y8tMEq4VXQQ0Vcj 1q57RHRfPnvB92ZWFnNEVgPgZje4v6Yv5jzw8Vcpj0iulV5LSakQS6mLpBj6aOAj9WCNPbrPkZVN rXBWQD413+RTE86PFuDAOy99O8zAo00V/8C9+ePEmtd5pBVaiYyOrPhoFdCpZGo8GF/DQzqlW7/G GY4ITv4NtXsoOHhdLkttxyF/7Ef7SWnt0lM9bwMvnGZyBDQVW8qZClA6wThbKAxET/xMTwBCmdZi pcSDI6LB7KxbD7aSkchP8EZLyUpjKvWvog05cCeuS2b4j5n555hDLWjoqfkz52A9Q0C9LRvsBqMd RnHjN/YXQ2d8EmCgKhN6ESQzsv3ZmkUl4boQfi34bAimm7DXxEyK3ad8CjU+aGWvs/sB5oTaoJGM ZCR97UCy3vAOHLkpEZTx3xG9u6/hPOCHcpqLsaUwDS3pXoRVC1pKlOnXjoFVio3FgUAoW7KM+qsk UwBuyNb+5pED7ln9Q2tp2dS8XWMRgUAHzBQrk1m208n4GRVW4l/0223q9WsuBL7jPp/jVA0Vk//s 9vxOqgIXpk5RddeQI6cpK2c3WpycHfb3G6esorfPa1dwCssrFVooipDCUQUqRFt4YK95Y/DEC0uz 68W3ZO67NkYZNrlFp/+MF9zDIciqNX/cSKy87gKez7rYPtXncEO+1BSz4Lr5Y83Y639P+0d107f3 LrJf2ZXRc2qU9DXD1NUGeSYaiA9JHktN31duOwiVbUllUvt5ogm9QLsgMZNIZXwXXK72Gsqqmdn+ aQz8ibthyK01W3pDOvkFGfsg37gwWM8SKf5et/Gfre3ty1dV5fOOcS1Wwh/4AqMZ9wTDTqNGiqik pHavwmeGMXeISa2vJ4lqD5eUQCOQYA9X3N58g74+zIh3qm4JP0Cf/QOmX0t/NyzOav94XxQF4Fos b69yb6ySRAq84CzwcmaSCZjOWuB2NmDTZmDjQXhFEMIi+82Q5YWN8vdQw/A6vh91a/ulQj8oDebD Wz4eOHQYaCZtXJeD7aoCzbngvWkN1E2sPDM++Pfd2yVKeSOcD5UndafMkwIN1syD9L49huB1c8w8 mHQKNacQ27v5N0M9njPnoaYARVxEWSSETpEKmjW2BzBlwzRXhJY7biZGl7dP++pM28YQyNaOINRg BRxv59w4L8bjRJ2CbYXe/ZKuERELMEz8RX63MHv4a7EQs/+tkYyDvPAcqH5J9Xl9chnfaEMNQ7kS gL+r4U2aMBewbQZT2LYkVqoruzr5gLpLjOpB9GU6BWj2WStms0dPfNuTOoXrg00wDHzsf90vWc/z mcgY5djhXhjPOi9P/cbQizrs0uynFuiv9ztVG3eeUOEDFkqvqmOfr+iWMX0Y4IuwCKjs5ymXww9U PUkIbCg/29vK2TaJ4KKj3XiReBBUjpI8zaDaTOJhc5lCulR2HpPcpMldnEh8QeGOgBD2WcMLv6RL KGObwye4hVilhP5rIhLPaeg2m66IR56lvOCWN079VxZEjyKhnXRaKmj6RqIIMSMjEj7ktpcMkbCq N7Ho8e4OINQDacrqj3ZwUDaPrM3E0BsILeUCWp6l3SpghtRb7z/8mXI1m9d5dlaM3k9h7wKqYC5q ss+LxmLRb9bf5tSCGP44e60S4RC/FVaY4cKTMuzz28KAP8kdH5IZOPhPWUwaXo+6/T6KSQ7O77N2 NtWVa9E0zDB3rDVayHUIgtEii733BO58CLlxr/GRW/f3/etvm511yYDCbQzfQG0J3LgCWPtyRlqb ySaMuwXJ5WcOooSySkDC9no70QKVHZexSvpXX0UDMA0k6V/1zA9HdI8XkcSIA20NFiXZOU/Omg0i InONvEsECTo5677UMcqaBqhL+esUNJ6NasZCq0394yPEaFWTa/yQaVJMFVJKw1Od+28432GXG+XE B1pxlF8O/JN/bgyZ7yXSrOsKoUtt3C82okXMdtUWZPw1Ji2JGOt1uMTJaxyyIu/+Cag7GhFiyr38 QmeBbSZm0gxwhzQTcwaqmYpsGG6bOUdK9eHhF71xxazPCadYsTttVIH/70LSZGALrOkUsDW2PuWf GY5YtBQ+bR5mdoOBxLte2exfQhlB2xS+KIhNaU0oUXQTeLQTgkc+chUKAwEvjV0H5AdOrI9jpdIE fKWIG1xs32GMuqNJ95vGn7C1wlERD51jxvd4tTItnGD0Qe7hOrjKYQsRMeb+VMReanQkFEuPfqzM HPGkwCQ6d6i4GdzzubtuJ6Y0FJlBfHbk3XMHBClXYzH73usoSbZiiGhLjKxdrWyB0kff7SUyPDwZ ijs1PKd/J8bgXAz4/+idCOsFPynl0xBf2BbzLJ/hyGEN+ATxQOtqWs5KDEtta6Kb7B5JC/Urqlqm SDM9WYAo71vat1NU5jar7ir6AdCKrv8Qwl9vlxPTF+Q2YZHRbeiGxIaiZQD1up0uFUizN+sh8+xh iCqpIXOhPZWVmSMXGi5F4DFad7yHHts7bqOfCS0az5NR+jE2lNgjMikamcqdHTNDjP4SApoji8AL +yBYEdYcTLMe7VXrBD4z99wjYPsVFXY+gVpk9sfa7eOJMLSw+kvZYF5xIXcnjVvXfUr8RiGfxzi+ GpZpuTHWdYPtgU85zBEmrp9KcB+Gz6rKG6BCimNoe8UCAbVcSS5RhRNMW+z5HG+bFkdlT3noaHlH m1yoPwgGw25xWGJ/mXc5mjBctwLI8hOSCOFsy48xr3D/wiYeZUm1Esj4q7d5h25ITecnfijJApYU 1I7wV7UJj3nfPGi5oHsXlfK941qiJhLj9Ob/C/piY3jujmjWILoE0XGBiKjG1JptVNZ+e3RvaYOc VIwmohgMCjAqtcrhZa2QtNTmnporWmDmTZpYtyZqZR64GXOiSTYissaM7s2HA6Izl76v/zY04lII Fsv3eP5h4kbMTD7NAcp/9zC+uYxxNOn0pDjOjWXzJdbqyOM4kns5ErVwFQKxZzuo2HQ4dXSL8v9X p7FkMF+Xv7Gf/ZuAnQsvNFipMs1AReu5EG9guk64s4FoPrMktyGi2RLra+Nm964cTHLRy4ebPxvw m68S+Hj2pKxGPn2u74i8B3YPTJ5vcpc4PlauiscY5hW+8/CeGX+1bZNR7q6ca0EiKOdTZMvx75ap fEh0OI/yCAX7o+l5/LuV43BeCMx6At5MEqts+G86at9Y0GgUx5DWtdXemilhe3rltOCeMD0ZzEKS pgYkNJVrwkQA/DZsAw7uwIprKktyjYTdq7ebul+lgIdyYm9VBDDOFS5iened8kkMkCW4Dg1b7ZKV yZ+hzLUkQJF1a4LKaIGdqhTC8CUKAEUYB+JzmYhalTtBVIrNxrymW/KGe4fSQl2ZnI6ZM/KGkjgw MoeUhFwKlG8cVViTWedfOlSBQWVopdLbKrqXuUShbjB/MgZQ41dSOGjMv+R5ltjBH95X5pnRGcva mS1Lpec+TPLKQEd35g5PSKjzVbWLabLQlJNrVioGdIwndg29ThrtPi19W2ZRbE43EXCC7KIlo2Qw ZoxCPEvbvYUqH/9ad0YTF5CG4WEazNYF7unAkXBY7w/cP0Pp3VWTbzgEPD9I0iYuY9nJbcy1M756 vLQsEvYn0iM/K2eXHifO2kkqzo1S1glLtte145pkIE14ZcqtFeFnRBiBb0j71v+UXtqUaUpHTDtH z/U4BiPah8kFTQTInAfjU9I7jDEZhrZ4msjnXHn4OY3AIqsTrUik7MAWHv7XHwurnNOTWldisVjK ib1yvSJW/oaqT4UTT6fyRG0yuZqSEBGi9+bd6I4fcMr2PYjK/UcMWDJuet4qPh9Cr/5KFqyRZ3gh YNKUiQLUKXk8lVOxSXVGz+MVK4w9YAkEcEFPTcqC1Dyxlln8I3DE2D/6VwLZwVbX0aLHdYTSLprV 7Jl0D69K0U7/KkjjR+0THl6dhNF9sPCGiVeHg1wSfI7/XgPSGVkye5N67YeijKB4gvwm/8RqCleB CCdWIoeM35FwsG3cB3z4kb/6X8XMNxqvsPNYIWOHh3cTDDtHdjK+Ww0FXn9PQdafiS+Uz85M1Hse Fsv0P2cqieb9pee+rSseo6U1J91Mtyd7+Sq2ggPy/Y+bbb7mY/n7clYWVdwaZYgKCFzsGarlhVuc dyymj+1F8M1/SF5C/hZMEVA1gx0BgrhOhvuzHnFMRLaYWhhpCK0w6KHVTeiUTpVxEqwegLy4U0dz LO+eGz4HtrHlqNT+gBlrs/uYvLHX0NKFxdE4ft5OkLjggS5hvcsRHhLN1o4ArbSFLSwtCGReyDfy Bjw/xkkjXk85rAQlWP58bQz0Mg1HaMNDf946p09/wln5NX7H5RDQnQaoPWPYAI8XwifIV/aB+oN3 SaddMyntoIUbGtgyNWkHPJID5uwccpc75LLuHZD47vRQK8+xl3ltkiGtyWvu7xbBjwdCBlgUmn3O sT1QJ2MKROSTmTsNwJdeesEV89SzKqYcCvS0wKUZ6eU3uF3bQxVk/DuGWawD2E89setp/1s0E03C m/4KpaO48JEYJKrJjy6yBRJ6byMIThX6TlsrnyBzWO6IahDNvimNs62aOaW+XGd1BKWgahPbsgba 7SLMjbhX5b6BTIjXYtohPZIKzh3K55JaN9gZerGQvh4ZW63fKcJE+ZzvMzM+J4crRgEBpLW/6J+e BcRehgSUDDCUbzSjion32wesLCgtUmTEuIJLTiJ5a6Vr4tqMkbBDek2SDapD93KnZF3X8mwDO5Pj 8jBKtgVhlZf/DZqURu6CwrKZERe2NaiQ2pISlc/ZxGiMdalw+wl+6d9JsmMidDTh7WSTTfeFWXNS 3MIQ+ppfztabYJ8M12qkkRW0Esn+0bJJqYj5RuEo5vkoSfJROVX79p71ZgoxT6R51KUkH5z4Mmvk giJjy7RFmmwFBei0xwfDitqxSM8MSdoaVngjnnmKxyv5HFjPVTIzhJlojuIM3NrYD4iTUH3DEgVz YQtaH2CqCHx8+6GL6jKPRn6TMP/wWZICyO6QzD/xCFNrbgyF4Pznva1PwevqjLVL+drZFnetBRmY +KEbej/ucP+RSQXgcdqHfvRnKyi9xIIXfKg8VHW3Df85ODWP7ePRgWIm5hP7mBazad/MUd7pxuTZ tDVAoC6kk4SQwPJjgfpFLztl596XznTexAOyfFWOuwRKpPtbLlFqhlwIhn5H1Z6AtZ2X3hVyojeu itgTs26/AeC0fFqMIdDr5wNUg+uwVZrIaJ/3wzL314Z16KV3/BBqYQtVSzrNHXctBCGD0LJlb4Lm iYYaVOZXdnPd9WKHFOelnXVR4IhpsejXehtQamtVYbdfJYvjZAJ0lsO74gF/LOF7qqVrjtruiKCa 2bW/LjcOTeNpLN6hSQQR6oCm3QW5L12RLtKsEZMODBNQYIUZifqZIc9TwasEtJ/gR6kNe49oznZR IOl14rZAiq+nYdj7jju616virjY0qAKN9xr81NKM2RTaHGWIuhls7Q94aX1u19wd2L51QXDN8GbF hsar69sda9u8OnqmUU9b0Gi0Us4n/9zRhJbFeibZJS61Pjb4h+0dLIE0brIDBnetCFHTTEpB9gPV GpXLmW5TfD1FuUmp0BsKjY9XCkl+DEFCdUPP5ViL3PqKkP699haQ8E2k/E8qDho7HTXWkDskiyD6 TxyYfaPNltgaxnbEjvDaqp50SYroGG8OUSCm9LBgnyvxvC2kP7AB4xBwzMIe3uRzuDK4vBCxWi6H QZk0P5wwCtcCObcSQyh2T86DTODY4518YRdC6J0wvmqh96zq4cMcXR0CNtiuqirz43TtpEsIYaWu WdGiwwfqdE+SEErYF7kjgDv3ETkY7ERreYFkB1eEXDpwDaQP8+oZ0xNsJEmrHQaDEZl20r7CFMza xX/h8JQ5PgPLSe1l+tqgIjQy8Tg2KAGCPSc2dM2qeqAYpTR+hrwohjkYjov9bVxS6Duk9RWZ/Pr4 xX77jv5U3TF4BGNEvdCcZYMVHdK14VibmbW8onBCHRVQdSoeL4KwpzzX3YfoTMZ6ra3d49qh79jY Yw1ra+xFauknA9YyGUYAYgkezQFfHmfFR5chv+gzYLO7kQ0NaB2dc5DhU9UhlAIx9iJU0ccAitYK pvCJcTylSU1314Z5OKWaNQTYoRtNmzaqB31DtsOxyRBu9mkLHpYOs+w0RNvOFsIM3eFXhq6HQpjf dJw9+noTkyAKnGZ3vJVnHyEjxn1Cr6qqNUbzweLzHP4PIeskFVu+wyqikn5gIm5iYY6VhXP9rW4v FZD4U4TthYkKmf9KMIzrMK8Xw0hYpd9bdwQV8b7Ges9HOUx/nZXLMbNccPcdnefZra9Pc9DnQxI0 FwhZ8jsvhfGQEYoYXTV/yY4T9rsSD1Zpz1YYRT82Rc4AM3t9OdP93CUsILdeizGrzXC49yoQHPlD vN3dBOUlQD9cwK+QxG+EkmlTpAIUUlKNTgAwKoSJxfuOJp7va2bUMA28qUq2Q59Zf1a/OrTyD3CV qeW5vzbdMjzO/YcJVfbw28vVPzXw/IJ6FbEGqZFloWAEpg/Nzhydj5/GUAjxBrDuiKK9pIXU9rsN SMemlkEnsaiEQs9XxRxZoEAsL30fWivJUFABLzomhgcZIVd18IH9+l/0EjfHDQQ4jji/a0SYMUND vcqlWNgT3n/srDfRv1CzB0ia9RRcx0T7y6AUF7hJ1WrvKOXIAGOGFCiz/GGREacEmnDest76RHfo GRj5M7GtCwNJo1bkdoneXn1Ey9wfPBLhHWmaFjhqpMqiLS+opWsu6rMmGZXaCfOKCnCFrIdnzf9p MwuL56wr3YlZc8N1J5CESPtIhVdKxosWPMcUnBzZInK8ECqRA77/PvO9zVEqjAtTyh78AANrJfMt GOPZMzCI8ypDfj4+Iqqqpa9ZhwNj9FPMYy3WdM6zu1AMAiqONw8p7U5Od8DIuXPZ3m/pUvvYSnUP 5wBppbEOvKCLzsdRvVyI/6R+rE30MVnlUPkNp3gNnluaabJ8W7Wmdw1O2vtEX0PLLfpU3AKj4vVg 4bKVkesXJ6Putsw3H4b7KX4TCwQGGxwIBBYtCpxRPtDCppFUBLskZgoEtpl701x0WHdkcMrV7qZv yly5nOJjAk36jR99ObgQcdXIyVhdi5LyjKkII4Vef54661YQ+I6Wlh8yj09t8HoWfThNHVUg6Nur bZBFNsQUTQQtvCt+0kiV1ZfETJqovlBXS32UsWFFGQVHlrp1ZjzyTT0kniMsFPN2bya4pTPhJ6iQ GPsFb0KoZgdeuTn9jNge/H0vvdk1iBBKLiicKkoJ2n0RtrNELkYRgp2jDjrCDXprbFM5c3o/jSD1 lsytGyRja17PIsqrl4s2utP3WUL4vndmUVOoW2o8VWV7fS4b7u0T6isRvEt90TgS8qGS+75Q7SGK 2UgUbe+1QHXSX7pmSx5AQ8kDNiBopbFa2gZmLnaUEOaa4K4srKfIGaTSEnH5h6O2E0ZH1ppPh7V1 OgDCG7h6Rv9rLtEasdedlgliNmP5LDpxZw0TXRXv4KoIkQBaS1pB/wZnXBEgu32S2hrABAVDvzJG hbHMGKxL2TwYDHQeJqh9LM7U9a/gbOK72Jm0DOVzYfqljdMRoKOBHqmcNOQbCwkuXf8srgLmCpTM yas+JqkXEnOd0jJsGtfg9cdPzpo35LGljbZwgq2M+jbufjgbytI0DrJ8JW77gTdUP43x74GbhZeg B07jmta6zArvEhKkxGbfPAWnWq5KOuEl2H/g0Ocbc/dMPEDtQTw34Y/8a84CBHWMwSQ48z9/3m+d l+jw+IZ1pzXnVUPtDEydx4Tm//5v/dFQggJebXLzdF6GKTdJYUi2VzbWZCps6PjsgkQ2sqbE4Ugp P5+K+ckVTWVeGFIbwVNROXbmdXpYQxoSOGBFpNXcJqGyNO2pHA0XBJ1+WTxvV/D2QwNTpguVCw6J nFKczBtqY4ilMZ0XwKKRJKTiuvNuLMWfxtO32QiEoy2YUCCp2OKOpPF6vOGQW0l3jC+k2KUzinpw 2TbFlQeF1zplM4wDwrsTg3mp+FkVpk5LYubez0uD7QI2Ru7ar5AKKLxEJkNgGh4nyN5y2iHdFryr e0QbH5pVbcZ8vmfD8dQjtmCQshsoiWQ5B0YNc/XlhM7ZDcWr9kQn9bujONSsHM/Kb8bDrgUxnWLk hr+o3y88NIR9sZjraYWhQqHihj3vBBNstCJjJkftHQpxYeudvPzQbllo0Ol+B3xrF4uuAtE59NRf QnqK6QpLFSFZNxl7d/GUpq5wd+KL9J7igIYZaUIuLuw/XPhIK3l5AyKdCST5idqTz/O/vphM8RY/ ejH3jUcJnEV4msHps5BFvh7MA/LwTBlhE210hmZL56k8wabMchBRkRWiPcZqVsjauC3E5TceotFp 3khZj4Vz21K4LSfCItMsBUhmoQHKEruBmeDAgBmpJv5F5tuRXDlGHnmv81UBjAk43CUGHNHVNFp2 nOAjzITg3gNRQio/YSheJyfckpjYV8A6wWvnXn6H9ss/Q3BeAN5pHJaDm3w+WoB/PPwthqIitqU0 TEfU6SM6aC3bu56tRApJ0Qw5L4XqMnOes9p9APuq/VZB9LJby8+XBJ7PiMSY/s2fXcKBa8d7hCT/ NtyPR2xDCz7d/qppNfIQUajB00wtmboCDpR0vu4Ocmg2Np/zpIeOaDxGFnbFxMuJzrR+z5TnhDlG bw7ePFtgw4aRfG6LOUoUAiwAoByGTtIJjFoua0EoRsD8HFt6nBDlf31W3O09DUyZpzno8UD0IZ89 KhMKx9lzwlo6DVj88NuN49GDzRlco1/NvSAhKQUbD5fYEYQ5JfXTJrhBX3a97jaQiy9adSlGG3aN GlDF6mbHU25NIajZJOm9TZxloGyt7o5BQN2Js+NZllPp3Wf0Z+wz3r8gw/KSRYRRgviXR6PlNToT SLKpNUZQ9rlT8wJLEoyZj16j0as40/Z5+yyLhLFJCVJJomQ9ryUU4wKbhhBC32o0tcYSWqP2a/RU zunCFv9Duj272TgdAPSNWWym4L5/mEVs2/DNY98CHc7GK0xE7lXZ6n702qBxeDzABV/CN4c3R+LD MHtNc/hA21/QuDBEt0T6scHOck5brJek1iaUBx0v97XaRkiDHoI6ZFPhFq9Bh6yfrWkLLK+mQWU3 sHngxKmsDzvuD/xH/VbgAbAc5uWEzMUA4xrojlYFMgV2IhzRROfdQGG1nTNXEXwYBWcW3dPosOkM 3lgDl0yldbDRbE+Yy2Ll6RrXN4nzMEpzkSFHk13bP9HHieRAl60k4/kHkCW9HGV/RaSIXl/dUiM3 cOxUlqqGWijrjsYVsASU8LsU/L8aJyTM35psKMiIujhzPuhoIRxUEM7MPr2SgSaJ+uPLEmccb8AZ GFDdzJWY9FH07WrBHv2rgvyiUutvzTtahmUOOl87o6btvjTzl+x0TTdy6hFwlJCUeLY6NFuxIESu LDYT3KkSkUJuR+nxDB+/CBFTjMafx3HJ4P5qH+ypdGA5yZoXHQ5AuaH7fpHJoEbpILgUG68UqlqL RQ3MwiVZEdbDrFLTnauczIF3VihRObh7/3RlQRd9p1vX3pOT437gZObUNah3PBYupMaMX6vBKJN5 zLcpQy3cZ8QKq3FvZHs6ZIgQdqwN0nYARY9OJFXr0X9fHciL/3LmN1xIVYuW+8TsuHaGohuH8jnt +llElhb1+xm0yAT8DjhQ/5MfEcM9GZR76+tjRLYGKi7wS9NbW1xwXfe8Ly1QiA4rsLhN6UWE78RK /0KydwQquwciD47grKvGt24mty63Ld7VwKpK655yYiV+3bYvxmd7POLQ8CMZZvjcaIUd71IFoD5x 5pw46aoU8SbfEhJCFF1+36Bb2M56EMNKSFlU3ReNNKlr0m5ld9msgzZfBm+nmVo0jSGdms3FN44M mGkTkRrmp5XUR0q7fvWjvtQyyaTDSHEBvTqmbTKFOweUrzIv4DcelWe1893kam/wE7bk/ydAnSXn XdkI/AwWEia/Ob1Iw/AibmuYh1oYaIJlg3MUqfvACd2ZJs9j3m0Rn3FwrfMAxqVSWwJkWRW9xWmq XY50szZxptb8wVbtGjQeRzxIxofA9Jiq0Pskr+VsNPXLa+v29064CKEjSd9C4+SRGV8oKpklijjy KtHxuBG9VIeZdUWw+pNdS0GuDEAys9h5Syz8nopViO7WBawX+OGlfnDSdqMud0g2oN8P8Yfm/ddk qLYo5gMzLlBVN1PZeVPS4ml5nfe4Yy2l8ZOHqY2NRuaxoqRI1BylSHVRa0czfLPuA/pranf00bfc L4F/MuqY9SEpT1BzXghcNTByuZpQLH7lnkrIhjuXeDCrCYo/vVgDEN7oXJv/uFZ2BbeI1eFWOruh YD9HaT5s96Jfyi2iZNp+ZG+ggkDpO6vZ3XukZDNK1C44BveM9qGvJUt3yz61dlEB2Imz5/q3zkZt osL/IOc3Ul7voYPfK4xe4mFh1K84fK+lDl0QatCJlcQ4OsZh3daUnfqjdM5v8JxXY+q5NMrATi81 lJ62Lm9AS/kKCPF9cI/pMi1ibFo97cFIhanEOuOM7jneIDkfHn/1fyF+ZHVUZVVBCtcYg8Dq8Mwk PU5pn2nPb9lBb9KlkLCC+swEbqpTDuVckxL6dD/c/ySNp1FVpBX4y1qo7N9d4tQ2gPlsHgGDJxMg wk5JNYU+4FGwAY6LtTFQPnI0Z2Nmixa0Z/UAg4qe+msIGGAA8TwXu4lSBPoGymeN4w2CeP5HFvIS 7JIDizF/lTEG84p4lUQoxcnNxN3XNWyPG3EUxRDLDFyy07Obd/lvsHgQ1TfI3xuvbNTlMcEv8g4t HxoOhG/b+Prsljfl3RlN1SBYfEz8QXuRnOr1QDcVvPNTMuJ31yO0EWFjwqE60GEcXXhBrZu3/CiW tCu0Me74bIQG/e1MM2VXlBPKn96clMfAWJqD3lD5vW6T/3Lcfkn9Q0lDBEQrTLuT/2NEMnmk42wC 4QmOzF2cJQZ1an6SBY1dqZuNtuSpKcx2VAjBcJ77aFpoX5xM+odWiWMT041CNX/J+wOs973o+qyZ IrUDWFYjhwETnqReN63Y0pHmUKb07Slfn7bd/AUjXNCWrZ745zm9w54oFp2EQPJWrU06TJSvpdTp Ki1nb4jgaPdi0gJyu3A/k41SVKSorR9sbUVDTaUkJU8M5VmjTpudS8Zhi5RAqQbl8BFqI8BUUBR2 KwtSjnt9/NiixNmKSJWJmLU9gqbdkj6ufXYhRGHr+QjWx7zSMkaE4XrMMqwVLgZ/c8IM5VC9vXig hmcW2fYT3xZ+6V1h2W8iEKhPZrCNzzBsVkqXDKLMKYPbweChA8oDPQ60AssvrMDxtNXt8NApKGgG BkqseqwzWXRmpewY/gaymKRSZf/mi+ea0LvFHt3k+d0DQBohlVHM7DLZDVWITLo98R8XvWfTZop0 yzJL6oT7fgcIPlnN9V4Fpteyq1ceuXcSvABzB1e8QTy3IWj3J2zIz78BCMtZU99i89YTTVdKJQ5N fqHQyeUntfmhxOhdAW1koKr1o3XO0Gt6I3w071hXO7xPoo5kI3X8H7acd09YgOoGuWcc2WwiJUW7 rGCQsb6A8f5Xa2lXO95y5UEoo5fopSwD5Yp5rs+AqJ876yuTovRkaClOQcSb0Rytiy94j/RtdymX hTn067h/kGQP4Y5sAoYa68N8LO/UI9z1lW5OCwzlHoF81G7XBnx1htyTq6xSdl8L0FeLRp4DvsfA ytpEWFC9nhHzcv/WXkAf1EkyaYd0gHhI7YXE2SVGchyb+EOzG88sSM2o4Snko5PdHL7i64cLzFwH MllJzZRtCzwqXue8X0bhlsLOqoK+poQo6As2KwjcRoRLaATFaXLeq3ZE+SJ5sm7xtVp8QI5V+Lmn otVSqA/R/Bd5in0gC59yZEGkEVJEsgZ49f+tjgo6AdIygfGrgAPCcYFRKndfvlOeillbeHIwkmgT 4ZbeBvEiIR8vhyMPosGz0Ye2RFKyXznbliSNYQNNOcgw8/cLTB9jSOwHE4Te16Vtvqi69jxPT1Lr pOgY/aECjduLJJIXc8oy0PcCLZEj2gkl3mZfOAhIoDWVRbiQkjjxdqAN1Zjb5+/2QOvOeYcs6b05 5fbF8CPB4iDS2Y8gp7RLXr24pIgAbUBDPGyVoIhioGGuDTSHM77m9leXRHhta9qM7h3yFs2PRv1e kKGK8C1lacAsk34ODf5aIZ9suATAb2+1l+qCnMT3Om0rYyoZzO8Xet/1SIkX28T7cQL5yVPNzoR2 vsjlgSrc4KXzgtpOax3owf671o5lvFbXS8LArI+R8K8Dx3x0tQxL0q5atX3K6EqersSFlpdI1rXh zw8BTHB4u5UOnicmtWoqzG3Q7buNblh9h06SuKzoiGTNEcO0cwulHt9fFaExjKL8xgHS7W98HR4d Rh2uOoPEr76tOYzNBKfiXWPh7eoYaKq8VDJTKNVTImvoltnoe627Rf3zCirZQGmGbJisRWCF2lZG 7OrLEj7g4+ttNzxkHtzYEAJiBW3C+6AnToPRb5vMmVwTv2x9MmaQhOeeIB5pMw6RNL5wpqdS50jt /kBuZYpTBiEjqbc2QrojrULonclbxRTnbbe44XtizvSus/bbcVmv3hTMZVXtSX4SIfylk6kJtfM1 yn+rFoHMjbo8y8LwAw63v631FKO5rU2w0lkHQJLetZWqDtiGXVYPplYFcyybdTjwsUN0Rx3oobX2 yljH+1hY0XErsOwLxElCOh3iFT+lcImXqz2BHMRqruPH+9WmwDGEhJlJrlYXwanGXHfeOyL+LSH+ uKsKXvj7LJP5OLwYzVJkmBYZffoC/3NR7PK2LG5cAxQ3Icb3U4hntjfY5ezb9SJRcKoqxF7/QzaM T7ohD6bJKs9ITIOiLO7YcOKhISLgQnNBgXrYdKD4SdswzYPVmcVY+2GNP3VQfIcMw44qQtkdkXNz kIQS4env/CzbFnpVEbjgeG2tVyFAPfFFB7+9zS86J3VptbxS5/tqQRzxDWhUm9cFgrLzO72ceLnJ E7EToaDpLwNyRNl00hpFeJsvbKytUye8+cKi7kfm8bN1i0y0UmfIBYlI5A2LYJvSYA0abd0qfya0 2V5cO7nm0WbLE5n56TH7MaIGfGrbE+LfeSxovrTAzIk+Trhhx2xk3xo/ezc5N/1jcjEQv+yR+Cga hds9WKl734XnDCvE6ZBFA9y7JLrMeVgK6j1GGlrpddjfKjj9tzTGVBE8+XzhPomQrCw9TCnDyBAV UDaFfGRM8os0FIPXR/ws7aWr0aXTpRI3I1KWvqB5oe64tnuBca3aLOTVHM4oMh9G/WV0LsURGqib EQbpo8qBSOus9ihwt/y1ZSz8xLibZk6Ka9kAlqhntMOVuMvnpq8EP0NO879/YIVIfGRmy+H+be9u 57q1AvRyZFVTnGFfNUQuFnTwVk5ynyEn7qfUg8dP34uicy/RKVQQdrZXWF7qYmWlngRxwd+PMuW2 lmkLZRaGiKkn13IAuAnKVPxcYmF/vEWbSScX0rmR+1LEwoYdPZwC2BWxa8ON/KUbtQfJ1FHGaOa3 TZvuBQXn7B5Ywj5ByHRDaJlLOgwCEwGA9MepExj7frTlX0w3aJOBTgCpBBqKIHzqcvzBWFB4peDg 0zfRXWtrFnuxFkE4TTvGzYtdgXnYuCZPWsfHUh7ruMyXjOfu7YJ9caWmFsgsckpER6UPDfS97DDM e7fsCulKQbwP3qaLaHA7nzmJ9m0viGtA+r22pu4k28lyPK8A/LgYN/lOzmCVE/+4HK8tYD5p3mB1 ChzI/CwIpOh2utu0mHtaBmSlDC9oiMQU//uuw1lHB80H+n+CIjl6qjtIowniRfLfUDLtx6p6QpxZ AUruAPJ3q4FzQu8t6c8+XWTNPUciY+15hY3hIU22kkUR8jP1I00HH9OAB72CGoSldjL1D8ZkAqbl CCtsU2xvcXlOYwg9KKvcSywJuWiXnL4fbXwQWwRTi5Pp/ox6vU7XO4H/Dt0A+gxGLpiTQKneiJgs GqqASdvIbG5BEZI4jWGSN90F5RJUXRFMzddWiv5ZFi7ypzZi9RqIwgZ+tePoptE86322QqXM9UJz h+uhOcnnNqmCRU/CEosgHaYryN6HRk9k871Aixb6oIwTvgua5SEc4OvGkiKOUZVuEWFyDkKntAJb D4FecBK6DBi6fTnCGNEMmsHlgIDrvmHMPIAGLjjxF40Z37Mr8gj/DZPK+tsCQgpLDOARZ+DGKtfg ++Q8R/HVAvqJBKE79lDVjGKOhwPo9vKswVzHdMjzBlB0jBtIEzSkd/lPlDZeDevkKQzeaexTKaIK 9UD2JM40KJDZsHl3vY97Op0NrfvtXBOFIv2MPywdz9Oy4QI1gCdDEQ1DOXNpIXlhIgY2NUHv+biy Keu6yCACp1Rdirvrc1q9XHZBLB7YEEtVu3aGYJrJJCWVWIZaiNsTQcoE74yX0Y0XDFZJB5zcB284 pDVh1mBzoiJHZ/xC5xZ7EoTpR9DhQSbxHo6bBJXG8HHvS1mv09Kkf+qsqSO4ApGrJkz3MWV2OXd3 zyJf2cKPkmd+kLPFfk/3VEUQcA2uYKu8GM3n7HsqRPv1+rKVRhcc4NJguMkGSmHibLZHoEsqzyHf o7fgdwIHrtyBxELMr2qgizPR/nkAX98cVh+o/uz22Ha/URw96JZLpvd4TLbSlvfefPiccTLDb4Y9 HMgS98Y9HPZVKw14uFRSDhLHyXSREfGJJKdtQZVVB1dGfqD8EPS53XIQxqNe8vl3gdSLD4gyN1MB PhTVUOLUj3zzQbqZxj79dFbN0Q1gatiq9vGDsdBEmyv+zVFj34nkqL0qDQrOh7azSrsetjXriEBC VcSDGrMfbiZIkRVIdemn78SzEae7uOrAp//x1NkWofCwvqewV+zpFITH1wcuNjhwU/FzEnmkB/lC JW/dSpEoUmuZFFP9gVBTh6cuO/s6s8C76FkwW6g2fBJmwUC5lwfJfK2LTvQroIFSMw4Hgzc+EQrZ 2rvxOcnUP3jQpF8YcxzVX3a9vQECLfy0Y2eSZP+SJodSNi+m2qqH+AqrpHxj8FEoYUOhZsLjj6AM L8TMbAVAh24Zdzl/lVDH5SBUW0cDW6/OHSVZRP8XjqsE+M8TXt62qspRd1c8QhP1FsTqRmtdPBIH iPXI6v4I9Zgay0bkvvYIyWWbc6lcHDeG5iHiD0VA5L4QKfwMlRGG1S26oRrEG4prSchhFTk6wUpi BA6Y3+I4eBVElNKJYsFzcZvJLLaGHF1F4eb4OFT8p2X9nTD0L48/iuQcOYtBxbsjGeyKW1MNvZCj 2GTtkt+9bsATN6RvChqcxagUGjjsJVf4pSjuuGqy8tkY2lYKIlXIZMtSE6z/cXee54zNbbXuKpr9 bAq8eXQ0WO/ivJtKuZwEaivCqiFHt+7YNpJT9HQqpVeWksYV1BphsKETlfF+AxcD9y/Wp4elzTqJ VaroH/03jA6w1fKXcExZYZ/5oDCt7qwaMVvTw+N6lIgSRe+dmkwfmhmEX0+GKtjpu/9tgUybkGyf /WVhpwJ4s3jRvHk6HkKw0BTkFMWSj7fN7OJmWzlG8KJvRnWxejk/VZElkLUFEzsURdH6VG70QmHl wSl86i1+Rc7D8F4i66En/XmWffdDrXnsSOSd4taATYNu56Lnta/3EhcPY5CWbbm2zrcbPJpqgx+Z KScbCAVNgivh2TZoYJ7EsterC2ocNRNOgbbKyFSTwzrotGSpnNhUScVh6GdvujW8XjTHlBYp0SeQ yV1oNTMfwbg42CIpICCNfePqaPVBUiq/JzNWO3f2Ve103tuEpbi0Ju3l5RzQp7far2zN6Ze6A8LL zkXSFK7ILdOhL1PMOS/Wt4EAPdle36cOhT5ml5EZuxPAhN4XMYHVeJBhRfqPII++8eMxhVb6sDju Ylut78eFBBs9UOM+yqw1IgPCSDa5edZVSsjT4V81cTSQyUHUSt4FYAhXwgYd+2d/z6cOzvJtPqBx ZxAOk/T1S4+2R7g7bez9J1T9LVst5Q4q0P4oWV/gGtB81ArbjUnes4/W0p3FQwXvfqESU7i4cfE3 T3FhPeit2mY71/evBX9PAtfKIey5IICI9Ocs9TYdBi7yfzDPWiV8ImFc0lUt8ZxezfEEqYbCNzZm 9kPz/g76CAO2NY9I3/ytCmwaeagiMip7sdDlHPcClVeKUXCPW3NZPnDUqwLcrbRRLGx2WYYfr3kK cJCJEyyiHKFcBEz+sKKnSkkUjBWP8lVxLnFQCePmzDKfx37LMaqMRsl1uyGCIxmY3evc2xlhCr8N BYFqTwERGngl6znnJvj/CkJ5TsoHh26zaDkG4z2i/wAx63+JK/xWrbY7dCi79LZwASQSZ+7E98Qr y4KYBZMf8Iu7SmvmLNjz88lkYvyXHinJZ+EDYDVPT86Hx2C8ScAcSZLO628wD8Zs5JduI85dLVWo T34cWMrNcq5HfqhPQBeTnFX62STeqXFqPyYFclvcNtycO1JDUClCGJ5m1ZWcdjV0twVG+5zYez3l ueVE0XOLbhPH281Z1I2YohVwXZC9Bb4aO7UIGZXj9sI1x1duAzcXiKGncrOKogTF3IyT4A4d5LG3 WJjzS2ZJOjtKsHkqaGtTyviFfhU+KgdAplLdonCA08q96hHscX6mG7WNIX650eDaN5t/0CZRr2iV wW1kCBW1dCKgPQtcghjvmU+47cYfkz/Kgcg8m+cc5TW2Jnex5293ukslsja6d4JcEZMVFIuoU17M WliY/gDtv0rId1a1O8+6dfyz8vQPYYNZnhEdGtc5/9TSGS9+2VWRHIdGn7yXqP9WekcPA5mor2HA 4hIBNJq2Czv+TLRDnl06OPaV1DAFXr70kXWSF/e0KjhuFcb+F9Jms5MtA+8V6F+wcfiMFj3PBkrj ZBTorYoa0reV7Lr7IXXazt1KJMhT6RgDH1PXfdjPca+8k128v87Y+ubxRjvNI8QhfLkU7h4F9Gle koQFnD6x/g0zOyhsYFz0ln4jQCdgLCq+2O/PkvNXmOlaroiD/O5VyV175/0FUoRBFk4RNBUnHPir mbzK4J0GJsYz2giyq/jebdAbV8TZXAI4nx1PDmteOneL9NopfIVk9XOLKOkvk7TiktBuLOEQg8Ww vC2I03TDl7LymMwYCq+WstEneGh0QVFpzQATZcttNPi8twbndxqeHxsk5bhLaGo974kpa63ptBPe 9ryEujbV0VpnNHV+yTlPyeBB+hqlM0ob7tkcIbbpwMaLpB7XJzQWDON0CFViddXBnd6bvx29Wi0u JYWPoR4d2aa+4sU2+rOlEDU5QRBmbPHXncub0hHGkiYiTzEjvRElwXvaQKSMSpYRJUJ/5FCXxO/Z BczoUMugvZvkWzWv4DxXNYyyLoEh7WZe1eeRunAUvl5HgaRDXBlk9W9EpW5hO4IzGOjk4AhsIGNY rmDjDqGGvJrw4xLPEeGTsZHq/Nwux2RmoyYVrbdwAqr+AQ/bCO9pZQoRxkok0je1HjKxv4s0/S9v tdc7myXvEwmMGk7FXABrgqqmBTe3jSnMM1kTtneglctxVNuORAhuWm/kFZe9/cAPDwwVtdPqFNOY DMyIBMuaK0FX5zHFbDSDVrxBgkK97/02+w8+83/KYop6ipnAJkePO1T393TtlC1ol+sl4yoSaq2Y gF4lhCabAA1GAVbnYimc+Gj1YNNPQ2bGJbpNigc73pum/PE0X3GS25SUnO18da5Une9X2CbNxFZv e1fphchQIVsvW1vlkYJ68bxIRyGxKJbNX986Bvm/LOTtd7AtJtYEjAxag77aQ07JtjnmyI6ahGty 8tuN+e+B5k0S+CfgakpySYaRrJS1ikCJxRfUw0gZcgziPRVOORoBKoOnOgnBeDXBr9H4nuFcQPtt VMinYzcT2EBFbLNt18d7UIO1Pc0UMG6E5AorYAEWRfytpCd7I8aCZk81N6E/yg34zRY7VuYOq07t FJ0UizXXkF2L+S4AhiDGSoWvXgaLZb99PkP3G2bE55s7amc6wV9SAsEleiARooCOtSaFtg6Vuxp8 4Mf6sVmqjE5Wft986GKQ9mqT3u/jxezrn06y5AN5sk3Tk/eh+MuzvEaqf0wdsHQ1VvVJjUg9P0W9 vgPe9GNzMDFryXwRqkuAxWUjuCFBv+PiM5SuHip8KH5OPASTNY4ioiKxebZavhdzDAEReZwwL/ks POgv3uLG02PsUmOmHmn7zkQHwGSV1wigre6A4usk6wTlMD9gnRXhjqOAoG0KFirt/AguQr7GEbLx pPirWbitKeBeve5Kk3+5YpytKkj2gQB6j9FqdbPl7XM5AZU2UnEDHwjqJXeyH/owomqa/no4CECJ Fb+1tLcdSuU644AABPTiR9CJ2ZUGqNRJiTHacuT4nfWN+f2uyTi0c27m1OcMcZ1R8PUd0cw+Y+fD OFe/i0UER0P1sh31k96zb+M2xKc7sVVEmn9bsfRSb0g8tjW+pa5aeEgFQAjpIow2f23W+4GjfXsK v3uOxgWYv8P8ptTMIKcQyKVzz8E3k+E5w93DKUyacZaDn0RbUaTz3d03qEmKphsnrJ0olvC1nvNB 7c6heIIKo21cObJscSc2A4ir9dWW0Rx4HPIAVfR0WV+H25zAqiykkcNbc1M022nBufUo6zPHoh+j juGpwwiR4FZwENC6NoDTQ5PpG8c33YENApgiG/cqyriUkYACqDoURYXYlf0i0MrpiPtEhVQRKmZi aC4/sbLfpTCWcnXJZYAEEVHo/6+5t4nEyx15MxU+cLLXTv8GQEjqlYkiBWelTBicHmzIAn0rg8Ja 1rn3HutUxO/8bQRsZuiXh6eHZdJWAS8XgkDwNtHqKjq8FWLARRQiurIi5bf/m7YMbfkF+lPbZ6yk SV1oXHkPwQOUwRy0gI4MOpmrOSMo00TdprPzSr5Tb6nBo3gFu/Evr1uxSW99TI34o2xLNEQKlzji gasrYA7HXK2BnX8UBiGYFj12YfhQK6/erJckgiA52pJfnLbEKlLsZ+JQ1y6sRnDY6E2q8qJSTyzy rxZ/yf+oDG0wflhSFWjnuL5rK5xHWB0wG/vrmCBNXKeICnGPi6kEdwi4gw9jxTpN2/HDvzdSW/kH //cA3oCiKz/XrMWOhw4sUbp2cj7CdYw4wOahaCrrgkX3c33/+Axvb4owNEXJztOrdxcPxuHJ4UBF uaLmWpqVv/WywY0zPDImkTvoX7Gp+kY545CaWDTf5SidBMjsQFmGZUy9QoM6A81m4Rbo1m3qA/6K cAK07GlIxzZOFryWz9NLRFUtfVUGO607Y1PTO0F41qjiPeXoQBjre/LooudjQUL9c6Fhaqc3yoBK V2GHeZiuukgbMbeWvBBLJV+YynhSKcHXWpQ4HvI8w/6PJH0IBELdo+TXzIwItzweHT/hwT9dFTWj j1fpgxCud0s9ZKMuTzenL2H/jRFSzBjcbRG+adesEDkXR63nB8OQYBslA40jixwcK8YpZEFbQ37s tgQXaqOioq41mDqgsDZQgVTrgjr1ceqVDA+hXndIq45renyaatMN1kSLy1RlTUM3yT6sdsxxcTSR XVSWhGjCGLsdagu8hrRcUPkeqgxLAjYwlJ/DVs8gytZTKCGg+CEwiLIUxRcMOFMr29blZrUEfYB0 tVFx6/uBsmb+bBoN+362mUhjPfSDtR/2PLzzaLdlvlb1eAsj2iTwTV7n3sHNskHXeoW4vyRqu1wZ tcc6fT1ObZK2nWuxCQ9lT/rd7dftaw5ntX+WwgvTF9eiCzmlI/MtjKt13Yz6NVMYqgxVfn8r/62I JpqSSCmAesWNtiDtUogx0f/CQT6aXJpFdlJXbr4frUYwjDH5qSvj/WoIizX+JKh0isXic0cNhN8Z 0njw+2w/pWk5GmZih49+aF9n+CX2ZdVJs/CCrqUCE2VNnhfvDuwKExVJt7KC8ztHxb/qRA92bUB9 c+uKEcTTyRj3bUJVXO519p/m3Qr1GYN9cONSFfklzGisPi9aEnXZlTYVwZ/26BseqA1MRRVXTH0Z vu/x155kBwf/SdPV+Srzrnr8MCZ+viwmzEXLlFTUTRN3YGrNEEqxjBOf1zM/CTk4yexl8s1YTy8k VxkmMD3i7GNLOyMvy7TfQ+sYn/m9fM1Bu8vyWu1ZOjZ2Ho9BWP4x5xl0myuW4G2CGByeCMEJAYjc FNGGNNuAStY91IJV9WeHpfNypcqYERf4EFSmPxzhRTQKLuohqsiG6Daf759I9dIk5VHER+YHk7EN UM1XKSRUhklmoayo3/Iqq81PWPiH5nQH2C0IqVojytT9K8g59Zhh+qnLeTQra1qz5//mUjTRy5W5 bhLof7HAWqNAdRKXV+3YvnwjwBP7wDEU8bFKW0WcCuRgB/BTTxRw8jdh+6fY1xKgZa1SZOZQ0qwk MEI5NdbqgDSmQf80uSS5HbWrLls5RkxaspAz428nRK6kvSiafm7XOX1CPLo1Mp2ZcKaq/hUO9i/N FZ/3wBlVO2a7VdHeElvxgn/YoIqa3RU9HS0KDPTmsHqgvc/1+PbZD9erkgedopT43H4CqqQ9BfnU kvQZ+t9+1ACx650he8xr/qkQeGAyWwc89PCltfaYp1w1i78gpoNEw5kxbSAxhRBvWLjfcTI9b+SW 6n7i3Hhva4iK4gluV4M6fZHl32MRWKBTwL5fKTTUOltBcr2SA8cKS1dpD0RyqvfGb4NIprClpvdT 9alGjZVcbFz+SiZ7btpt00IoVP6T2b8HHN9fotkwehqvhDdwmKBiwoQzFq5rZLbqdoSqpfmF45iA TTKWu8hhrjPnLfaMuHnVp0EVda8m+v9nWtPPBUU3HrfZpgiiL25MhnshamQ7c69EwwLiDppNMmhS iVaksewo+Eku/c67uNVo+l8HBEm+NEETZhk12QN++B6SQ9AwpNEszerO+sl1sz3lf9DTaaZpbCWl /g9E4/CXO2i+u0K2Ah2hTGGrS04ufTUnJ8qgJQfNRqVDsLj0qGMcIXtdLZ8cs/wrtL7WJUoNl6BL y6+fYQ8d2xCifHykcrNa6hs1HnxI54BJH8Gnjc++p0OjqGwyIw61i8GrydbnzLjACwstOfiuCG9b 0AnziNXFKG67mSjLQMjDdlrEpZMfmFe3GQtmSCHoYXgI70M88EXKXQza/dBSIHZfB74GETgdkBu1 7jO68p3NWb5x/isLQEVIgGglHlJq2N0rzesNhpBZXvOwIopTAE3ITrT94yf1oT+sVDHlMHuY/9Mf jAFPmGbNN0iF2jB8HgVbhLkPefS/eiQkgIXA2xB8uR0JeE17dGNWu3ccvVgDxtseG2QQF1oZrh2q 0CpfHaJZyGG0IfQ0nZNfq4gEdMnkbY1COp/NITDmnf7uZ/QHZAHXAQ+ikVesFIc0jCL4gAkcnRK2 CbClzLMzz3ktkTJImNxgZSNpUgqU2rhR9JtfGfcky1mOq0fEHvQ2R5JnNF28iUknwep3Fi12DfEe /ze+pF2vVSz44tg7cC91JrSCJ5OIq1sm0d68cNZYoDYTNC1tsIagLaYz6fZYm7boDPhtudibWbTq ZKVoUCWgYhPiucOZziod8O1SU9IRMJ6GuP29yCqe+dguRuxx16t7HCiz3vmXNvE0bmhIdkyV6A/n p5vFyyxM1zX733FOCMv6+KkJPvZ2FxtCg6vhkvE+utu+O+fNNWShfn/eZrykzZUDkJi9Ozi61hwt 8gVSxpRtJQaqNmFP8lQIKmxZobEoG5eubZis7G9QvE398OPNt5A8/2ClRWV0DJPX74YBARXsaWET /CXpNfHm+GVvV42tL8EBGup51j5KeLWQyL5RfQWiICuRbhjT1v86wcniYToUk4i9ktX00wwEcLpE ysxGlxHhOu2zkNGGaDvrkJLBpvU7ASUTGeHRZ8++jKtY1iCA5NfDoDh4+V/R3jFqbj+of15lgdsr NLa/dzZ8as/Q+gKOGTqK/DgBMEHlf1wkB5d3jdbYkBjvyjRn6ttCip/g8r8UWacba0W8ubxIVpQw Yck2s7IhOUfX6WRxCI+dcLUEy8ipzX407mp2iN7OxVPPUYXEP1kYcsTn0LH9FHH2ovUmVnb7HS9j W7oNN1T+L3sE5Kz/EvAzM6nTbWm91TXY5YrRXgStk9PsWN1eWXe+mZLNFJu+aOb+umHQR2f1zgwi Cvll2U3nISofsXUwBNzZRYXM6XTHN3T0KcTtvMzcKOk5QRq9ZSfMwSQkXPRIg173v0sJndKbP5x0 QSBmIZLSqU/LBLGvOYGv9glkXnCZce8/otPM7nTfJpNiqTkYU4T9dmP+YdUDDOvia4NPxPmlT1Ik vN58/LkFSsPGzbjYtGt2Hic7QP72tsuup9q++8U0oUUbDfEedX4WcEYqU2aMtrveFKwddXBQsCGR /dddhOPNkMA8s7zEPjEWQwnFGx+wnqRMk3lHokXXm3FDVnnJWQAgyq8bbDjxyBETowAdMvuYabTp NBTYTuJOADgWO0r8CKNF/QUlNTg9xPuQgDlDO+mYbcKOqD5eC01NgeLYRXU37eooje7t0LVFiNnN Y8GQK+6pi1B+xNqyU4Cc7nz5BYcTENlD6syuGR34MRmRJ5QeV/+4JyUAe7KQ7lf0f4C9V8ed7XkT c87DmG1WWJWsY3j82a4shRmFzuRZXMl+fF1+PQs5hEynyUcnwZIPjGGfGKfz/w42DO6ge3lzFdfk IbPhCO7ZFCo4oZlhJbvG63lKaVt0lOIEP79pPYny1Chl1pA7V/zGL3Y+fKBosfg4rKQGq0W6XkET p+KqmPWVedf4CPZqEjHa9I1vaQDFqrriw5F0Xz76BzKOkK1muRb5mtS6aT5PUqwJKOTgDZgUAofI x7jSoVInfkH1HxUnulGc1AtQdLUMN3eXs/MJOhKF7XsL3Rx2BL9GvQ+0Imikuk5IUYQxLPvlYh+j LTrMqT9VN/y4LpmzymDLCqhBGoISunnBtmC5/IO/VKC4QUHWMwMxmV2lu69zrxKzbHC+9DfVE1lu xN0KzAYpT0sdePfixVXYYtoMw1gv97yTMsUkOsKEJpIZJfwF5uX9/UBFmOVhGzWOoQinS1I7FpuH tCHOsyRWkzDQ7sYe7ois4w2ZC+xztV2Gy9XJaGyiLU4Gu3sT/aLHe3GnqkUbXe9GZjcT73uiT92O /Y5LjvyGEFm0sM/fiZZSH+zExTo3H/SuyKBhU6MsVJ1jCv9AQ7b/M1sDdN/jtDwULwXaQvDl/Ukl KOEL1W0vmt8mERbkpSOjFI2LyznnwtoQ5nwlTfKcDJegEYlmEiQzgDDMx9bf3Yg2h8evlEyp5nyW SwVkwQQQXNbg6q5qysMcxnNfU5l1LR14ZaRE5MbU+JtALlYkA9rvrql++L83K0X1kHq3O9XsK6w9 rftlMWv+3wuuLhFaZZqcIGPz8E2NaZowTRx6j7dsnw0gqfhe87JlOXDO3MLoIkOTKQwsDygmE3P+ iLLuXN01y5U0Qm0sNzL/B9GITu6nWJhP++GZcuUBCvLE/4877dgIrVvAuHVBpHLQamnq9ur5R6BG rz8fTzsABzPL0fTH0Qq9iGOapBq6NaVum5PQaVly6OKtUTYCMrcSIS4NqHs8IThIa1adgGiJrgO/ 50iX02VNQXIK85bcjmmEYTcvrkm0ukgvhXKaEmoTJsA5DYWo4Z6uJARJjliqy6vgEqQDtejjoKyj 8Zow8R8hvwyH0U1JnhB6iqLZiLF2qDlbnURf8PI1IlYSkHIz//mwJyR+Nnc+Z0mfiogXgVcbcAr9 PV/easU5rwrmLbVkuFh/4T0f5ICBt97WHA8CeHwAdGgR6807En2a1keoj7GpQY4u3tp6HD+85cKb tYFNsHeSB+hAwBPdaLF3LDs+8iHncSa301nZ8PcJam4DkRPeg6ypIg4IjjqCvrtXtjud60n2Slxd kGIb2TlGq51vDbvwE5hvaKDrI3LtAYxoSdvsyzmuWFnn8ZW+6mKNsVP2rcJwG1x0Zm170cO4PyOE D1Cp14s/BhXw5qICNts3GSHQW4XAyAa8n0Bz6r8UJnqoDidEY1ECdaVZlyYaWLzhlflWbz2TVkTA TiYaUaSf+LzLOIo4PZK+uPsh42PNj5jiN2MRg6fwiOU8jJvA2yzSB6pq0RW/3pJlRDI6nq8rukC4 wvKJc61Su/gkGqHDKyzmLc3wELSDF9doSl6I6dfEK65Hh6O4bDMAlvPGEkxPRoQTxwnIPV55fGHs oYSlQDsCEXLXkpST2saitog1buNVgXzcRwQ3HMSBvlJMi69XXGo48bWWtsd7DrITRFv7zYBwNqLg jDI1nTWdUJdMUGOy+wfZy7jAy6CvUzBi0gjjbtwbWLSPL4KgucrfUQPVyW1OaYRFa1ksFReQP6BT mAYKTnCDlZyCK7VOuATDR8iqZxknaO2wrcbI8xVt/k8Bi99nMay4niluMO91geCV8mm3iMswp+G2 7EAAaA8lTuO63AYnjKwltfUZHPhs46reXD2tUNLw30h+wkXL0RLHHvSCH+4pEAvoVk9yoSwXYMYI 0u+Nls8RNuh8APIAZi64aU41uwa4OAV2J4rUu3wmwx1BMKLE8ETrlVgJeg3ncOgQYNajg502s4aR zpSbF/7d2D1IcAyOiZVoc8AqlTIE/BDtCTmmix4xH0SrVsbBd+SdrpJ+uB7TpotDb6Mh9/kOjd5c D4lHsdM2tNTVkjmE61PSfzmuoj/3eiMFhB/INVugPkrCArJ9FA78eppMJoQ/0H0KjrkwVSCM1227 seHs+pd4/EYJt4UEQ1XIZe+/he1k711J0muM5rMQqtSo4xavOfQSys1tRJ26VILcX5VJ/bqa6o5T f1CfJM1LWMFLyYuTUKUfM1DP9RxLHYqRsKJXnGaLweoyqP60wX1Gl9CX1/DckkN0nl9xUfiSItO5 rOKtvnbWzcKuhxarySzQizhzDRnb0e7029g9a5jXinxoZGcG6ot0U+4h3ifZvHJsH31r8fG/8Xm0 1OlzKgPAGULefyJAzJGVkrNK/ugX2ATZ/TttaLzxRhSw/KfnmNGuPuQJvrtJEu3sTGY/1g20j8cT 7MYbqlxqCLG5NhqgE/UaOTbmIZq4Krt3463gN/4OupBdJ//IhSOzPF8+zhh2XWsSU98MlhUigrmh dCdkrduyEis0dIz2qMLlsS2MpVzRK8aNCwTph0WmnY1BGXLTBxYboZf0/fdnH06HsFF/62K6cSpt vpWiC75uVzw2vWWLSe/h8M8zJ6oCSSAQmGEKHzNLzeK6bQGhgaK6XRRmCE02zAOr2RIbt2KMvHy/ 3CjCj64X5dmztzoF9kIlz+8Lunq6PxMf/KmtDoehWGC1o4DeA+zPGGd92vQYnArLCGsBFhlvo5/Y /2rt4jxOG8jq9dhMT1Ux7Gy27iG66t7H4aUaJwkwjGHzJMoCW6PkOHWTZl1wEf9v2FBirGt6n215 Wobeu50srU3ESVsUj5WWvwa26v0UY6hv0vLV+iWMV1BThMRf9tnMzh4qtSG5tS3woYWyowVjkBqq aWmVGZucFulmQ/p8wJ/6NSrcMCerghpLGalGPyaJYze4UC+jr8Ag/TVh0/V61Rs44wSto6LWaVrF Ah43eoHEYXlud6c14/ljvqepHgUzgPfEV/Z/fOJKQMNL9PYdHBtdPY8TsgfVyr8aIsCNjJUgLNf+ eYhTonA6w5BohjKe3egM97Z+wTz1C3PjHClsNaCjXm5Om3dcqbzfcDlOF9nYKx9kIArYkBCX3WRf vIIBqd1VnTXFelKw4KYWuucC0p4ZRqg6k2aHOxBRsfP/hdInv2Gf3rZ/QSJnAFwzBUizvIGP2pzv 9/XDqr9bl6YDylYIsj15YIrXbjUHL8Qu/PIyPmjZV1dMLgt3f47WUSlPcgnqMMRQ00hd6NOsjbQ3 gmlDiOnPeDU7oKucXfwtPRzg+6L/EhbYJOAJIM4wqDc1l9MyLOGeHIdwS8e6dehsZLcGEHcbMtf5 SXYRzkF3HiypKksFVlW0zWW70WOqsdTP411dqKUaCg1azAf8/fvH12FwOaE+WqBmwA+APn9PdOC4 HXDPdTqVnB+jWEz4Y5ROthzMr/duIPevq5qgowGtakaAk0FyBujs7Yn9TvTHTo3TyZgahJleCu5s mssEJNOs3hY1RD8th9MJEc4Tc19UszHdOca7njO7SKt0KpvD2y/e5+S8d6cQJs8qAwn1szDs0Ipu sBAwfydrRyZFSxqSHhK2abpBy3AtrfUGi+Miobwx+cNry5EtXuV93jGQEEsLDUguRnPVHU64pkMN Y/HvOTIfKwl8ghupYahP07FTWIgQGnKXkWHc3RJW/fAOkT/MtLPls0b2ZvxsEQNWrWK48N86mdF8 URpOXhdZHww2j+tNTaSb7HZwj6FAkqAmeYH3e475o7NbLt5jiihmWR9N/vpPlXKsl7CCq//gmmSi thdinxVkcdRthsHqK4+OQ0jG5vKBr89juvT01AgzPyA1WC3IqsmVDfFGjcqN5uyo31EWCA7XzVR0 V7vENCjiJHFtumxcQ3kTK5gNDfxIOOvhCVk92NHT70SP818uq3aWJdzUNmramOx6e7gkaWOTxjqu SOlQV632LbwaiQOHyMtHtGUg7Z/fsNxztg7hLCZuzccdEZhz/dJ/PpG5Y+KZtikPGNbuxm7oIFLQ 0Zt9WeN5ufC5sgMAebeEYS/ZD3gCk/UBQ8oRFsNrswEERYyogd5nn/I+OhoE/HuGiPxvJ32o/PL0 0xbBevCOR/aPyg8GMu9pqUzdnNBeun1BAZz8axnNI1q8YY5QFA/gISUCMmsdfMAAEZCvvzLjK974 h6ojpW1Vwxgg1cmPJH94jejLrGH8ltUAefXjIOGomGvenPvjGGBDZbwfXI5eJGlTvfhYsoHwcb8p 47Amu6GyhJsgK5SFynjUGxgJZCP1LoP+BA0CduOpD1AsEeCjozAtivPfz+plkrS5nJ4y4EpsQcH6 QKf98QWIinSsDg6b8O82M7blqIrc4JedIZ+KA0PZDjDtSycQoZiGZO2pf64uSRcUCVawEu0oMs/4 IZS1tYsujMshzoKhXf0ubVfHDKPo30825sreLWixR1HjdsSj5PVCPrAlj2KHcTZPwruOv0+ILNTG JeB+ZrdFeGsKOia5MPr0wxD/EZ9nepA7Nct5iOl4bW7mMN3zyBHYjejFpCqns0x0d32H30fo6uOV 9GwuMDbqHLKeXtJz7wtUS7SPrTduYdoGcBwzGzr6cMDVb7m237YsvORerRYnDO3ydEySOENtkYFv YssZccw3G5qVHvKmbq4r/5lK1/9lAhgOkLfEm+DGxxPli6b9/q6EdIfQnLyiSRz9cE2bivKjFOUc ZULu7SM8Z/sHvcwa2JFmzv/DzeWpS7vhwgA4oic/ZiM1/qS2+/d+4WI/IZm01i6mPbQozH7vup1Q 8Pu7gMVrz+BZrVQmcGCA+LTCTLY4LgzpBLIuh3m3Oqawy54/hfSG2XVtjyyjvpUAzydqGxzIOAos Fc7Gt7Z1iXWhqNuPDH9+0XclSns+/HMSq3ENcbZF2UQ1E2tx/wY8LHz64lLqJ8rQTKZm/LhWDBSH xLOvAeNRxc2YRa5RJK7n17YZOfAeevI4yRfUvn2b3/nk+AD6lHCoq4XCiWVSitLfDQroHCjFiWFP NLW2/GmWWyAaGWTtt7qeXx/IIlf/LKAFIIitVFJoV1fjRmaRu07BZvpA7pwnRSDO2NmM0RmyCk0q pL7g61CVD36DPdKR/t81fOFBsOVxje823Sb4OtHa8S6M/DsA1FHaT8NMcD9mk9T0UGKFHb7Co5J0 wc2Ot4pbEG/VtWfUYO5k//KSmaP0lPt0ZANm9zjHTjBDL1yph0Tlw0SrpP0z6JBLmCJ2L+llnQH0 x58bQTNc83qWKtdhy7O+6DAtzk209IPpnvr7VO4OMyQ3rQKJEhNu/L6ElkuS6XegJi7sJO/N/cMa wmCMdTU47y6YZRBKC+ZBTkam5agpXMy3SOa5j48aiHy7fssZP6m1dgI2N6zI62DTheZgJSQEZSAR 8UVNwgxddc+Gqx8DRghjwEcLkhjn2CGTk43lktveG+zemsdlfGng6TWiBSlOOYEacdR8pXbfJYNj CK2Fz+4OC49JjX3hQsKC7vUFK1U3NOkKXYXy1yiw9z/ET62zDnPQhfgf41H75SDERWwHhUCYxeqF qoiJNL/BXnMcrQSgirM8nnPexuQozGVeZ9PvfgeD5MgJoLMkxVWqMZuLYzXesN3p01QNTjV0J2sO KyiNKayxoYFHUwEPszSNmJo7gOzB1IJEHV+t5cQitbO46KadZPoC266RXXVh6L4534UdbZK3ZRa/ XZIprVa2T4jyd3PTaW6RhVkMjirGPpwbzEAXZTFndvsZRgeToI9yp1mi3YJ2PFJAWESNyJQvVUHC VkUoZfQHmQst2KHf6naNZmomH8foUDwSnN9Z4O6Zwr/o5yhzJCNqn3eDPPgg8ZYifQvY6T7tbPhu FEsNHk5DjJTMFWsy40rV5Cv/RwW9KX3SD4awLvhTAcdpPtd2bgzXVHwzZijVzK/5Y0V+rRYk4TDR zxmpICH+gAMeeuVuGBBQM48k9kv2TZMR/L76xI1UCZTvnxnyPFf0UHu80GfuNhq786cHvPyboESh QdOO9yCGs0ozXmmIQqfTazYhRNnALgzVpWXeepqU/mXhat9etHX6ULxrAapRZMMstLlRg9aytagW t/Nd5UelP4VHQsrV5+YPEWBnvrXC2B30eFwRHIbPql5SWLDLUrjENMrrWPbdkxjvf4UvLyxBB4wS z8be1zNMj/IG8vm973XOSk6H6efflnMe8KvYWsBuPUTCAhgSMuQZU942ao+okI48XBf5u2cHszvl E5LthG2jJFV0fEW7SweSiTf8mS7vNSFduXJiw6MiU05BABmB4wU6bQEnua2tL1vtum6+9n6UTwWa Ng4QT/XJ8G2Ziaum7wiYEqpQEbiP8b3RLKNcvo7zEiVXJdVK60zH/MWhdxMmkcM8bjia4zW/vuw5 UAaYtVZZwuReuFQ2jqGIvR023Scb7ImOeEJPYHNVmfBWYlnWcC9WqBSJGMhvyg80kzznE4SH+I9x uBPu4l1m5qMS+PEUE838e6AXUBXvtsUiv1eMY+pSiZvOifsDevCtLdqTcFrCr7yzZoh+DDbKn6g6 ZIfwHAOl/ZnhdNwss4MQFOJ1P9OhVtMb5QJL7TkGA6j7kdNHbRUo8+F/TOztC5psjplalbBv2BQo buo15oPvJ/yKEV8SU3iG9ZCgLoQPMnwflS6FXuTSpxldqEsV8KDQB4Ii3tmMXAQ+/o+OpcoOlkLG jkZArYch664aFqO8mPftqC1IlGiBpyxioC5LATzh5OlQle9x9TQt9xiDhsenABEnH/Wwcp4k/OyS srwjonsZk9FKhxi10IiIkhZN/auliGD8FMVtIk2G6gsfIA8a70N5IB1KjwsHDZpK5qJeXQA1n7Vs GmsxYd8yz7dYvo7cXeJ8DhIpYg/rkTN9cGC2CeyF2x4o5Hv1i37MekuuYALk2IdaL8Ku0Y9Yfc2W GvcHIIdXyZWDPq+UL5iepfAoeAxrQTuB4lO0a5hHhzSgELA9tk79cduHbOEqvRhXq1Rn4HGhUefL 2Nte17PODdBOTFTO6AhWrRb/chkStl3kH4JgSlhD71m06zWdwmaGIZ+NxzuWLimCciOfjyJYKx/w 2SfbpES1/ssmiDBOqWUS09GuP4OGvPfYHA60k4UEgeQkWsskf5wlH83mtrVcgu6dyuGWqhoabwZn hdWpzE4cwCJ6fvV2SFs2xOF8RzXWneVMhtx5XH93B/qD1eMy9I5eQtAkrs3ELoXYZ6w12JRlG4Gy lcB9Zf6GQ/Nl5Sw2Gdc5pdG4KJZKqi76VBdLAIwh4WMCWLIbkHCATzUy8NhSwaV/PRr0QmjzrSx7 FGWRPfdQ2gaUbvqYwGMIA5uoPkZasGYEu4MFqCMAwXY3aabXNqNOD2BSZNd78dm2xvbLKBuM3nPr TkuDkzSj9T9Sp3WKcAx2RyIzKqHx9fzUuh5ZEXwqNAPWcAHNBDbxwHjxeDncN/qbf4P/ky6E+eD4 hUB6zbtQW4a6rXIWXo7TCVXUrr2fHlor9s3i3gKRR7nT2m+fn1I8l6WasFQP9o7eMRu/k6jFE2Cq Zuvf/ZmatDyzRojS40r1KeylcgAq7Rv+OQVrqiqyvcvzDA9zXstfgrcTKyzsw36VZ16Od06gmm29 mCFQHTWxSF8914p4d4Sgn5Y0c9wFgzv4tPw51jbnw46Dp2Ljzftra899B1BNZXHBODN2mdtvTlgB zW9DnpM/sLblCpbeLTHEwY+GGWtp3Y+TOFVbNl4deZ5COAYWpL+ifOMKvwCIOWJIzksS9hbIjcwO fVWRJta/gt5txtgMNoVpJpMYyBuqgZVTYMbUug//38i9RoZBXEZa87VjLkAsjyiH6menOQTfMbji c1GZQ0hqkmRe7CrOdDJTukaIfPJKTwnmgbVBEHYKFoHvhbCSy/plYNWjW3HVjqRIIHtM9awGLuSC fjJd4JlWMMC97PYnFG9Vx/Wz0hiOfdXL+UfsTLIOcrXpNSpuJocTvLQpP50gl4ReytcKCpH1SnS9 o1nED8KtTa93yd3bu1XnztstvmANGR+Wt7aYlZKbv3rM8gQazaDU0f2v5vO9OBgBFoFs+aW3Fhx4 zmiDkjkviCQ6iQWtAs4XeWxWf4E16wAIGBDFkCR+TekWgfbXcLBTU1EZGw6Zk9f9S/5TKIMx9/3+ tkpBWe2dAZbbShzSjbkMP1sxuiaWQad6aEApMvUD19X+WzF1xWVJKTFy25YQsKz9PZ+GURfcZpG1 WHZ/eJJG/Z5kQAiRCEldj/D0Al4uTTBm1AP5durmzI5KmBOtWKxdk/3NMBEYmUP/5fdnVm05JPMo l3Tu5tJnsjQepisLU+BWqhjhgBJtBSJMnrNq+EgFBt8HaQpFJkd/GIg3bpoYWW4UmzuEidtFmH24 4KRs/aLJM5aq5KyvhFbLffoL6dRAAHjRAQ0RoYwYGcwd07eDlc6lUalm6pt1QKueGNUkiupxLi5a i/YCa9yzNndsQmzUPJL96opJTOkZO10A1t+rJg2xfGvK9ZfVU9rupK7KoQkCXVpoQGOX6inSiQF9 sAqhg6ydw8fxV5OkNBsrzJy7bH4YuPUIveZ10sPyTVvt79HV0HtFqHZNQYVUnvQbrSAXB4ZgKcbV 3SsaZ/8Dpm+ltUpZu33kI6yz2esB2wqasD35Wreo/1LSVDdurtVn5i/XbfjYuozqO/SW1r+J9jUu 1W1+RqZvzAlVM8hWu0VhiyzC49XIFRPcevRj/SAKofbs+AwtjYXQ27my57rKSMGcXWGtLeQgZAMi ObssVQppgtpueG0fdxBKwzsQBGLvEHK3EKzbOxwJqgpJJl88B5d0SHGeUjDhrmtjOTAlWZEQFYGH LfRWd6Rb3ZLb1sRZ4m1Hu7j/LpsqOcugOKLgfoZolKezMfgEidHqUH0+N2DwItucYlnKfMibdJTf 1RS3649sBpbEQyGoDLmA6lVucfRhntAMZ2fyZXUKid0lEj7e0z2DC59tccspmGDy5XUsZsMQYxb8 FVX/Vs8/aJzCNtnkYEuEgYhohOMLB+Hc3Cb+zxyDuA8/vgzkI2lfBSFiXjGCLwaysOj8KCzkUDXb QvYyjmFM4Vh8WA/0szUaezjdF624ZstA3Ehu/oLg9XXSsFj8p1Y5/WaUret9BKaU2oGoGC6WM+eM GKY6SqH+FTee+bkLSjLuNLZ1lnZIRq6Lisf9ew7aC/t0TVcXzjKt1UVpPmrLWWdh+R5vAo+dx0Pf Qr1Q5Iyfknaxn0rB4+e9tQLGK57o4bDaQhW+udFNd4EvnW6vhnFTFwNNXqK7EJX6CWBYb7V9PKmp FqlkbsDdgDNecvkbgmiiysKa4qNK+JTD7loS5Ot02CHzeBmWfXipYx8SAmxDUm3MBdxWUHjEvGu/ dFHOTpcNUlA34Vz6OdxGRAIr5IKxSTY+n79sgUvU3jl4IM/ahgusDpp6od8lqHilWrY3dwjBBnex pDpBoC0Vm+u2NytBlc2f2tZjB+XTWK7dzaST6/MUOEh+xhEkrMrkNX6PK2eM4CD8aUgWt5odTiHs HHx1e+Q+rZABI8L1ISmJ3I+OLQF5Abwkz0+RSdB+G9wRlsENwO+YmRYWtFSPrmmFfFGnXMADHZs4 UuPjSOh9yDX7mN5iLOGFInVdYkHDGjRqpB16uz7GJPk2Kokr+f+BsWG+sAnKDLdRURGK/UfhxNWI TiDwYSqW0q5vy9PHdvguwPEFJ8YoBfg3kT1Wua+5mT1AgYe3K5GTRjCN7+uFk78/Al1Ea9FfKAZb Kb6s3BuNQKY12/4ZFptioLoxyAyN/tl+j9j1rhRbEhpPgAzO29TRgwR1/pWhjFG02XGSOVlDAiNz oP7Hgl1qeIG8teV8H5f6jkbQQKI2zYoD7jmTA9QTiv9z2c6lbFWgXed27hkWHsXAUpV6uPV8eBu8 7Wyca7soKbZ8sQZVQWHsr0Ifa50cVI166V2aymaHa+4UWF7C9BimrT/8H6gpvxUqXWSslfh+PAmH V4yRnYDqfRu45F9WkPze49+E9rYSFwfDohHMHHf1pVys2Di+SboXzPg2uoUF9MFow1kcP7oTN0/x Vvrwk7cRObpuBAxIMWOLxSHUEk5oLtVTJft1UXVE2YBAapM6Az1qq66ChUUSn4LA8xKzeitIS3/i wL13H7uUG29u0oO/zxXKU6ZRC7u1aBx9ayhrv/k90GhkL/lDAkdf43RiLdYoYT6CPnKqIQaickwr TvjCesw3/J3Q08/K7pWFLnAU2mn19yQmqYR61efbXKUbwsUdXJqCd5JsivktneVGJ9/PUCm73UEh DMDOQzaSTEmb0JaLlXTS+bPzq6hoYOErE5/dyY7TOIQeYrsdTuNN0WtuTB8jD7hx1zJXhjlk9MVQ 79PjQbqs0jqGQCTnE6ksEkcSDQB7OB+XBQcFVbSdSxrrkCBffm3qiXhzTx+xTTDa3XSf/zwblZFB pQEAlbvoldsTybrcEokxt3gWEdL0ZEq6W7G6a7l7bu9LVDvOJEdv96frGQw5lEc3BpGeZMG9rlA1 XRZGX8osOe1l1+ll4KVwq7bl3Ix50Kfe38dx7NJ5K4/0lyxaRQ3Ghwyz6453aaxYlla33SUPlCyq xTOuS0GPhBPyN+wzOO0tRmMyH9mVliBVhBcsYGQ+SZSKIDObArM0T52VNy8Fzxf78NqR3990RtU8 wRLoi5ci6/3/05MFnGU5V62lTsVDEYizxt+wVby5nBJmcYCBfdpKftf3D2C3cSFGuDCtFZmIKN1Z pT76qfdaA+eCj5nd3GViy7UKtRR3JjGjoQoeXE+EuaB15dFH1el2O5Qb/Vo1oALcTmL/xEQ5Xqq5 yklmX2HVhzRXpHY9yo14HJ9CUHljjyUgI+8/REcBCa9X9VmekGhxIo9ry3+mUPLC2x4Cgu18ld2w 0GZ7+fOLSm+3Lf6T/uAu6tBlrglPNqNWqtO/ZiVLaapY+B/EupYL2jxqbhHj30wndKeu/2sDntex iZoTgtSjBbxiR9Q+rG7ZRVFcVLyKM1NEocOmWF47UI/reVpNxSzu/q1ha69G9UH+RFrN8DbXLHBG qIvRnxzOhfklpc/EhRK5TfjH54pN9SnH2qNfIEaih/6bUEa/gkmCtNfpLll2Fij7KYFGrcMR/uTi TFUV2cS0rApbV8s7l5meT6HBXIrxQaC+7r+sMEmvIg0j5FEdfhMXHO/Cc8eeDnlbLMaNBZ4Oktoi UCGtuVs6T51CndnDG/0q348JSGvwMkeU/xlIRTot3bGs8fO65ViKeYy4h7jnOBa4T40XV2NzBkaU LNPSoKKqBYcVT4ZNQSHuWwYiu7sa4gHQKAyXnjnRCKmIFZ4FfSkGhBnVmDw4Tbn/FYZR+PI2Ozi4 WU/yST4zpXLKgOD0yrHcAQUjlKMCcdp/6zPJKf+XKEiSVVVDwjUhB7zc9g/dXVOytMFudA+hBPip zLDG84sTnHNrzJxsPuGeaVrhCQ75y5CAMSN+YaRmkxSEXhV+xnKHU9MumdmiWjIrP5soMccGhlCs tHmvl4AQemypLgMA0FW9s3cgwJUWtykKYoZnbvVemMj/gFrsgsziLXzwqrl8jha6IzTHyLh5NhAG pM79tJK5L/XfaOukLDuHDeBO3ocFonkIdP4o6uh/+HG3TdEB+N3AIVBob3GNOPoveuZINL4Dc7hi oVooK3b+WwStliD0DmMLQ7Jw+4OvQfbtvc2pd2NdQ/hZWCe51tN+aAGFnOidSUtfG1Vi6JG9gLS8 fTjvDdmhXqgxFEO1blAUhJ2BToD9QpjNMQoPGP0HpSdbDWoGsDnrLo8ZS+r4D1LgL2A3Sa7ixOSp VTSHtckBJSZ6JC+aqWPrkopp4gOAa3fWrUTNh1ziGdrR1glw+1NMEUW9dl0u1Le+k/f8jpiIUvQG I3chdT6cRis2RttPHJoibjAIoMDMCtj+EUrLnEQwjpqH2RvGCSjVvfEskls8Lk90Hlh9FMZvoCcZ f3l/g4ws5rA9FbY7ttxRL8Xcq5gwr+ylBJ4bTIn9DeW7ztS/9Uxe1fdoNPEw4IEooPAC/61qw1qC XTVpvfQz0W1NHu0CZquXpqqajqXNoY+Scp6A3wFbNF0+KrW+Im1c8OSrn56iQ7tnYufJJT9bGNiS jRbsG8UNAeg2FxRj5sNgvkA1s8pijPMaXZv4+Vjk5QbnfzWr4WggWNtbWVznK6E+GVVT3OyaWP8n TmZSbvAI1XdYIOlcmdS8WFtPjPOCgjjVEktm1lvLfj/p0NNkqT/R3yBxwOpn03XnFpkeVKQdW2UN Cm5YBVpfxJu9LDYpHTlqARPtjfsEfABBcaCYwQr5EindJzQlR6Of63NNoNHbDB3PJmGq+N3uCq5m 5azxlUzpIofT9Y5OpmEMpUgUFV2UzqI1UjvpyBi/O63LVu986tJXR0f22GHu/8usb4TKIDxHc3Ar bWuudtM1q+4YIb56mCuwUafIXYHlCNOsBJJGUR0KjjHblSIkF4UcsZ1CaMckh21kkB3LG1i6HEDj GG2DlX+7UlaHrbFLkGZ3cqFS3YcYJjr22rcwreGI1Ai7693dcw2LwB3pWO2GPgmXd90cWz0gyJDT +DUOpreATMBPUl8NJfYsQAJq0jCZB0eCzhjw0BgKR3XjmcYCv6ZXbsqFDHXk23vangvVe4QZpUpC C3bqioTbGKb7mbPeRnoMoDv4Nwxz0HwrGRSfJUgnqHIvJ8UOs4Hevp7ajpDXW38680zIAgHtA8nl eYt2s+eLdi6VBPo+woE8OcsjmIKKA0qEMwUnBwAEg+AnS1zAwyzZBOBPBeLV0gKIM22fsA6iKzKr aySSJHOhikYS5pk+PnAxIvjuGTs7pUwhGhtdWmJ5NaTwcRSlwVZP/C9QYz8MSjkIngvCWn8NXjna 6BCn12zZVMd8aBCQa4Tve8wo87z/kcUKAckn9fQSw8YY8Jj1kGZNm/DmJwz3nvrTVzCyUkoQ9O9w kn/CxoxbkYAxPieqUN8+tEcoIVJC4ldp01bKBJsqYt1z6Pqt+O7ZDEJGo6HyLFt/5DjWbYm0YEbw VI8WXIpdWnWgwBKHuvjQHJQ9I1IG8hHEawj2wjDwczr+nkWbRXbOGUgklajBp4mo/U2jpWLKBswE X1kl/+PBSOpa1TGR4aZ9kAyfS2uZSQsg+eeejZILI/qXU7Xe5jj2t0L2Tk/E0NiGfE8U53G/2xLl wzC8ND7/wdiWX+F2slY8D3Xx8ZqBHu5YxDiQdsAWDf1JprQ8wOG4smR1rWTUzTKXJeXb4oRiO9pW fPa8fHicVK8DGazBgFuVPmjLlpDI95pYEp59QHqDbqpTmvz0I1uFWyJuk5VbyybSpKMacFdennQY PzwS5QzhAOXV52sDtK0Ww7oHJIlAv7M5OgKRulQoZNnxq78BlvSrC10pIWf4f/KFd1Op3kM5OBGN kAkTlLdvPZ3PXB6oBgGIqvDSkQyOUqkQ850ct3Zse7YYTK+FMltARXCesuIPdjuiFbje1pzjJcV8 W3bp/AGJvkHwQkBb1LrshewWZS9C4qQTbPWICZ5PYwTB92sSgKL07Tws6eswNjYR/yCy2NuvgG7i i92/VFl8LDaSKuv1no/TYtaL5IqfP4MwkugVm2XNUrR9vj0+SiJZk+KoXWm0bT6ijU2FhSFvgOfL h4YMbOOS3bRsBwexoI/5VZDXPp21h79PcqJZs6xeGjdphhodeYuF0VBT6kwi2OPfJTwYVTUWNTDg TORzVeD8aKoj+h0iUhndVhbYJzhg8m5vWnNB/Pur4t25ISpMCIy+JIQA0Xc8SO3lgZfuasu47G2U dK1w2awAkvsbqA6Nf7Pz8zZBd97yPihJAtknp2PN/7M2Xb4Joidsq/dUT2CM+d7Wx+7XifJfBJWg EmsAOEOiOF/ojDQyIz+8GcbsQmWsg3Sp6tSP9bf7YHvHmF7hMcNJCGPWGza4dt+I1fFGx7wqK7jl An8lhXupn+aULX4d9/IqvXnOMwIcKwG8Z4E+BYhmU5Vxsgs7RTZfJcHHfXO21GDC+yMY56xl9MDv AP6noVcNa2JnSXo2ySwg2Yv/MrKqyNoi5jMe2pj1sHfGGiLAxMxWRWYwyKucFy8GkHBa6z+uVeqX rRBgH0rIhHdpW28yneTlYg5ImSC36qkSEWU/nKMZstbHZDEBQ8pQloiQjRrQPhiOxVBBDrzuake+ hUGJJtm82gWYegUiH463iHXRfXQQikwtwCvK+FihVVXBhfc6GQEbrRn4tWHizfOJHFGM0sfx/1N3 i7XtfDLV7qotLAMNq/ioOaRLSHL64tqIJ9Jfm3XU2Cp2TnIgWyjis4ryxOQEAtPoiUFU9CNJmldG 4Hd8MwntU0TcgfsaKLCF3i9M9iJX24OppuFSvNPfIlJsk+gbLG8p2XicSrRm2edZLVMV7euvF3Cm 4hZtOEr3sYjsH1CxkEe70xg1i/E5Bvj0qPx97hhMRNepXxpSQ98FZe2wm6U3KxB5khyFkcYeJew+ mrGtybPRK2oLb8Z8gb2kpm1PjcAv0JK5S+hkSLopdzwAy2o79rjXY/EtUa33aBu8HdOxOreoZGwE bb5Y1ptmZ9CxoSZuZmvqg9kIdSCmEeQMdaK0jUFRjjsDb/WPVa4ZbBcqiTFmjkESrDwIicEmsLKG yRQT+qwS05uQn5LusxBWLBb0mSny7uJeEheOl9YtuA3XduirFQpE3uKFcOi229cP+qEM3vMtzXYZ ghpkeiZL4XPNNMNivIZnKco3hvHypxC0nzHxvX4CJlFuozpnusdIaGSIgLOwKaRhKIasM7OjeE+J X428XCeycn7PPARlN7Q5oxK1+cKLEHb9ctT1ya9iumX4Xp5AIhTdy6KCe3vGjuxx4sxvIFQ9KZfZ hkabZIR9zkTWxYkAUN/eKPyT24q+Aji0J3WxNqIXgUVpZ+/FXxVAK6N99UWxXIcnQ6R4bJjzDlRT LSP7HAwQW6FeZvBBWjjXoM5Ix/5433H25TQJ/3kEX7EnpAYhyclUrNFa7IVGP1DE1qIdfFDDwyFJ 4im4QHXoTWrviUt1MFXsTEzdoCOfWusrLuJAnbIcGAJipNm1htKLqyuDLY/CcpYrab2WGkECCYkk PZYDL3MfMLuHQefTp5CH4qhcEbyiBOms8lBvquRZ8R1Rcq6J1VkGcLElQkfKQg9Gn/g9evr7T8bL AImfZ0R99c4P4LNPFL0cAWUh3DXMyJzvOUt9po246Sn7pSZRr24CZuVsHZyZQzGfzrTHtMUxoXOV eV86mjXxZv14aawgB7qrHie7OW5Dgo28hAmSaNmeerjmnd8b/M4g1R7YKOA3MjPM9JhooSS+/WY7 Jo3WtkY/Z1vG0BhFEW0x1nkI6qE4QLLvDXFPlA6Kl8zryP0RmspGydo5YvaA7YRjM7M4lxOAsVqm DE0Uo/716lnyL3WXEOFPHCekD1L6VgHZmkOUroWRksYOd6VLySW1H+Ez3qIwzpJQlEGLRs/BJbW1 U/Y+1wk/xmgjT+aR70vnS+YhLvIu3k+gQIjUaR9RpTXfoGySxo0dUMyW23ABKyKT2KaY9Ci2hzqL rwNZyyDGQcr5sdTjv68ZBq3Bt06SziqcqG0q815dmIeS9QURoxFAan7yFdBjCCgnIp7Cv1DxAswI VnkCddwzsHewmLcJ0HIqV60T+7WBRw+jy1ALWCPgPfSOi7+BAnnIMawzyh7pYLz/HZCGFMvZsgFI 2QNjrcuNompx5plCGKVSYIarshBuNCzTPSTk+YwkEGqRlcJ4/8mn7tWgUKJneQQHcq+isKVFd5eR Fhcxc6NBveGNvl7aEeBajVDPOmAAexkigqEUrv3Caw1reSHouGl0V3J8xPctS/bA7qYjcebvPbDv GYNKeG+JtsskYGNeQZxJrJ8e46+R8wm8j35NRePuEO6VjiQffVHkHDwhFJDEefbq2c0Ja/tXzT9O yi2pFEI/dAkq78Rji7r10ErVcB1JgzEw63/+n0XLIzTcYORgeJ9TxNxOAHJq+yBBaAj1TNJrplLI DeybXekGc6y55WpBX+1vzaYgirQanK1iKjAS8oI24WscNAqASGUXe1752w3kE/1dnFqk0ui4Uv/w hQMpbo/BJXbMX6UOlE/Xz2SNNWzaT6y+ZhsTwu0mgIb/bMZOh2E6Cgp0X3QkCxynTeu1lJpII3nt oUM7CMh0vH4BgPDE5BNEVAa1Pes4nVojTLNRJIlFmsXgcibyqbWwqQK0os++OPkhAbtPyZ8A7BVD NZ2mWdCdugTtK3dKfL1XPnWzsb6O248K/DEof5wf8bbcCUy0h42NHpmrCx8ml7ANJQ2ISlkoH9Ty w7mLffCYecl4H8xkJ2SyVi8QPU4glmH3ly7RVI/0SPq02Duuesn9cEOiUURLrpiWFd3/YyiiCbkO O+NUi4HWDaFeo0QL+63vVHIfxBbTCD7GFWf0UAmqYK2A/zxzui0D78qr5y+IDUIEzKrk1z8gBTgx fTQIheIYgj5m9supxWnZ8W9PMNb0qhiCgWTb3IEJOKcnlCmm7BYHhk/z80XiFIBISSkAmEGytI4I g7vnA/3T5fFU6sGJyDQ5G33hQh6qREgRMCOq2YMhuaClLRXzyJUre6s6pha7dOk+BAtMioqQCHRu u0wT9QsNA8gD3eI7qESPSAe0ZF3v5JohV5SBkqIT4sTTkyw1qrrEl/jyTQEwcJGzon2W5LrRT15W fzHtutMX3iTwoBl7BEHFWDDCkq9i3bZDSrWJBur3n2Yu7i+lkUppSYJdhprVKdNsQOtaYnQPqGP4 LC7/sF+dzyIX6AdMR+lKj3J0Ianq1vkSr2+FWtxy20Vctvdz9dO2CNVQw1X5el7kp2YEJ4iJmtpW Peq6w8B3D7flENmWgABNDN8Rgxj6bsfCYobDb/NdCieRd2I5tSve/YnyRxgPsOtAUV18HCQl3TWK mUDyuNwg+Pyi8heK0w/1AgZ/IAH8pRqne6SPNgvnGZfysoN2NDLvx2l42cHw7szvu2ModffgrgbH ZUwkhuaf/U9B+Vbydkp4iBY00Qx53mkhsOl2kvIakuJWQIkv8xxq8R7bDkRcZXnKchpnd5V/Nfe8 MhF439DE/8eSKZkYEaA1I4ibtVedC306/0PfgMIu7Ts1CDjYf3Ee6hYK+MSzR+deD3DjULUZnhu+ YwSkqjxdcrWh1C4SoTwuwy6LRVM67XdUaed57fmWvsh6rHyoICgetE8RW3CDjr+gWrRbKDKE4lZt Mi8+AEDkI7QWrTX5rHKujpcx2aGjkIefdQ9h7YAHfQuhNGKEz/stmdMKmpss4wm0vNActUg1S02o jKJeuK1Ns8l0AaPrTwule3ac/B6KF0AZstc8iQWDzYRtIUdxpMSD1uTDt0xCxZhLH56nky3gkbSJ i0a3KSQXTrA/X5ypxu9B2ZS5chBIC2jcjj+KnhFYGZEkb17/j2EM92zlhpxg4UDQEV7wn0U6vqPx rdXVrsfuz1d0E6O2h+vV1PuaKZn1tcg/O+7sSmBIUOWB4+E8kc6LtLQS9pSmbCKIDF0oxugudJws 4q9S0ZY3KTgJhEpQmp07OY+Sh4GHIfByICl84VbZxpy5DehV13Ztfh/oB+V/YKX8REqv/2+5fxip BnpACqmoLw4UnJWQS+hA7AY6+tXYTL0lTixTczjRAqgfz198NhuS9WDzJxh+G0aAy/HHcV2mVN7x MQYrOssnZAMI6V1pI90iCqzC30uZJe3otbEXtMJR4u6vH+I3KfUDDk1hqN2EbslkQjM0qtVpKCBY AsO9xxFzJF1L8fzdtqNkSS4ujn6jjp2bnQSTjycC8MXvwQY5IliZ1e06BFiHGT2n/AYaolPoy2Tk JUH16SH4BZQ37uPwyni2orgHwhSzneTAHgRZl++8g46mTa0hXHSSh6d9jbile+VqOs3cgQSB6t7w fr2K4uR4Saf7h9m9pLnu1z733n/O3olGZZ4+eRSfwUr8M4/25LH5NQO9XgfzyYLmPQ0F8rF3rNhK MR7T5fgPs/h/TwkWhUq9zbSGyDJGMrPJbdxMyQRsu9MfBxNeGnEjks95HnmE+WCTXa8zI1olDME4 mEHo8pDwFkJA4fJcHL51t/CgVgpxe9VMZ3nFhiaofSkR//3zAHQHHc+TWhGM+AJL8FY5tNnu4rxS Z0nFuImnUKVNkmFW+oEQnTwKuWPtEKWV0TAo39eFyizHURSsI8TEV4Y0aB8maNcjCRHyMvz5tm4B ZCc1qHeMx4ujnAbUPRljqe+6L+HhzZY7uEJzjlWkgG2elPb4LrsG5hZzfxwu9+JekUmVnAg3mam6 hGOnPcu7lda6otSfE8J+OhFauPkfYlQAXqOT4co3V9Un4dpb7/HLsjoMKMbe6JsRgn4MBezB/Bxp DWuGGWVonYB3lEU1xvxDA/ffAYOTEajJVJxU0NAy5s+cE8/vUe0RdLf78qIkr1Somg4T7L/pqjdm lGuY8BfwpXC4vZqUKhILaYeXQKqkP1fQdNy5ElCFlONgp1fNM8b3bWq+WAGWtA4+bakxMMivFi8+ Mb+6dvi4dO8YWsnl9SFYkHOHoqpZQVLCyySybGM0/qdkpEHYY120sfBzn/gJllXuEjKjUEMUlqlL bKKI5V7lKMGGhBIpBLsti2+MQCmUkL55k0c+v+kJKP0mtmMVAu5pyz64ONzoesP6Rm8waxr9nptU 9cA1aEmDjQGea4lHVMwKZ4cJ0eo9JbvTS2k/iJzEz0VPkoFoEhMMm5VkCpEKV9/cDk3EZBkl2z6p UzCZ92k64xeDp6t4WuRpIr0YTurRLGsTDQl5DmKsQFmvqO8cMBikd2akQBpbQXvv2WrRxhut3Ust PZIJ0jNZJKeUrhXhKM16Vza6NPOLMOWmp1FkSjiyQdonGU7xhrwdXsq9S41eU39Yv0KFOtWyOrNu R6qbHwlrgEaJBgAMIe7ISH04a4RAnGIraeGT56MYDOq6+++RJ92WbnBh+Cs/6fOstWyFsAF2B5sf 4Ycbf65IU4yRVCVQPFoESFQrNjvQwGLe4seL1olt1zzXdZQRUvM7og8hwhwuaqnpH0tgSYrYD3cT gm7lxRZSndFjkJb9V5/YYDpkLf6oxyPRS4CxsW0aFs528MyG768WIAwFgJIDlhGGjA0rjmxvKeI5 FbHhHI6ti91mJQra5v4tjXUjdWhJ3GhFS6WRHWvkgPl7X+GZxfsHt1ksF4dy6FOIY3LfnYg9IWd7 7SOcKFgMRCqC3y9L6HGfGVdSTiqu7qW0PjhWJqxE8fKEUamtx4gmFHLdPDapvVzY1fATpCnWta5G 5T4wC3vZA1CRLzh79siFi5sHvcF4i7dZ08njntJzVMNK1AmIWGgasq+4qxy/KQkyAzKSnaftdnpQ pJSF3ZX/gew8PXbXUUgwWsVmdwlSOwgfhNd5wkyf9a+grw1Qao+mqMkK0ww7ofKHed4WCgmne5bn vfoEAdf77HeG0bTMC1V0DPlQfKr0YCynkjLGmoVeffS1UJpurBQydqqLU5cIJpEVqYbpxNv5gGb3 wtji5WK48zomA0qvfEWPXHmfviw80KCtmzR8jywbx+VJAhQweJrBnuVU4m21HilJCIR4R52QZmj/ z8b/YL1GFwON7S3dCvDK9RffhqbeMCPzRg4Ogfh0VFDzDxyVNy/jnZigPsqL5UDNBrlN+fTYeMw4 ehPINClN4OAjjMyXQB5nCHtacsO+d2ISk+GT0V3Z+atlL7zRVfTo4NzhGff8xIG8htzuwAwwbb+8 aYb/0Kl4u0C6huevcIS9cCReTP7+J+uYvMikYlqC8/5dc/HnMOCU2hHOVwN5R3ng9hBuSbDrS/CP 3gK7K+DBf3ax/bRdL/cOQM5/kXW387uax0nefTzrZXI7+U5ON3K8+hn/QAs6hExaI9kqmrlWJ/qo LX7h4gIIz+y/jnn8u62ViNjvrY3yB7oEG/QT7RBq1onARBCAQi7a+gBqWqgD5eTu+Fwol5JlsJlv S+AaEnNkfEUL6Svfsb68iV1V2hyL4ti6NwgCqOJpTAc+GUZQ84fORXJClw8EEYJo5TxSD/nglQ75 AG68zXfpiQIMXCD9A+bHOUs5Zv5W3CS7ymTnAHmlSEPjbPaHsA6kazE2LR8I7SINuoFxr3sHEiYL z8WMWJuxXM0+Z+ZlW8Xo3qKxtc1PUzlQ1/zjajyxzYlsSw0Ty1Vjc5ClfQ+SIZQsRcKALtwxyWs+ q9SWQbu+YBLix973tUOXO+rAjLUGRzvc7vRvfj2Lmpl1B5+QC9UAiAiIouUqkENHW3TuCt6ickiC 5zTxOGvfm0UnOQNHrvczHsZPi2AuO9iJUfFGCYdNRxuhyU0OvWNJdnUTSzBmqknXI2OD3QHYF98h 5hw9P8LTBNx4redeGjZL+AIKE82ehWLXCoztfmD0oMqDcVezd99kvQtF0AzQ/BQ5gWSz2DNf3+Sa U7E2MMOgAA4dWCrmpAHDyKfg5fWmKIVgBQa7soNQKcBkp1VWJWW2CjQ0S4fnEMWH5hho4qURfv3A 8ORQA6Ja3LiSp18OiiFbOMCbLEon2UeJ3XboFk3ANkDj9Jl1UsyyZ5IBQgEWpQ+2F9puv7GDdNi0 SlE+pRR/kvkZX+keCx4JkM+pDJNmklZeAeIcs/tVbd+y1FH10vZoW/2b13ZiOqZbptf6kY8T/oLw rcFtm6xVBwlCFaDFvHo4hLU7tHvjN89pFwnnHsQsZQA+9C/VpB2YlOoz9KYW061aHb7tyYLP1aOF 4bvGj4E27Cb/TruzU3NHDCEDbCUsgAs4QPo1l/7t6bwCtBZETSrCmMWiz7oJJSoSGEhEBBvwF3CB D2R4b2PG2ta2YtIH2jL2JzDpgWqino6851MYwH0FrAUV1BardNM2y99BA8kLop/vmHSQoIfyFyxg UcUsaeLt3+ggRfE6nq1lX97utMS3+4pfQ7GDEGCh8qsw+pTV5zlcHmFoqlynrS0V4wJwUK//o6+V rCuMBjXXEZnljP/gUinJCW78r6kITRuCauykEm0eQXqlTpCs/ZcB5UUZSrVQTwE+OOjcRovRttMp cY/o32HCgIcODvKrvwNjJxD0nrSzOXJZpQARHe/M0GfQjkjFcXl6QVc1ysXqE8lmqk4efYEXtYn7 UA4+sFzuRI51j2P4ogbaHHTblu0nmRMJGFmH7yNqfYIsc6Lod07zTU5h55X2bsukFIkVfQi1LOtY /H9Nlb3d7EbDUB6e+CSY/1UdZbjHghrtQNHYwq2r8xXjbI5exCYOrqNBE1zzoz3R6E2hHc8nX7Mx eHsAbD0loxXUlv3vvR1ilG+okgJslkxYiSNOva3z95/Os7CnlmEt56W10DM7whrlOfhNo7xssYjI R2efo7PT1K3YQQVDHs4fHvtPWJ2JV6vGdb/gTtag00mCpnz9jAiBBFvdPh9s6zL0PZ1rx8Fhbd/r kWxsrGFuSVg+XM3rJ0RdM6i+j9TvpNkHk3BOEIXAE5qcWwbf8IliohVtEOTm1fufYTV1hjv0Z/fC yh3sFqm4IhkgRTf0XnSSx6q8loyNDoVeFgU+0uZln0YxlALwGo1M3LEDF3lQOZ0zvS5o1a4X8ktW bx0KIBxj8Jnrzd8VkTLCkeVhpTmazD11ZV36Pq2Nnmc+gzaHo+2LdUaOeMZmt6GMA58RSlwg6rEi vinSuEegrnUTZP781rYHW7vswHGRy5/Z0FtMehqOc+0x5z10rRxH/q9KJb3mnYqJRbXfl5ZzAxs+ NIlhgQeyTjzGnYYKgfmaRFEs+JSpWuW20qwgmDrvm456QUathxD7GefIU1QOxdbtHY8UlczgMWyk alvgsl0hv8VhYsDr5xSG1z7ayn/sKxNOl3u5y2AeH7nZ6Q1MCjG4ovqgtcB667HHevfxwN8BpxZo LVczlUcpznK0fsbu8OahNwbKgKvRRMrI7Tfp13SxalVJXtA9IQyY/rezdAVW3B6BWNMn+Cwa0zED wbzdKU7D5QfddwpfnmK9uB9D4avgDs/WyWKrfWTEmitzXEeS0MMLtqDC3AXb1iyg+LAIpW6YeRuu O6FuzZeWu7dpfxUokuymeKZookvWVR6wJMHO19AQ63aV85ZB7NDPquJ/urc7ieoSyjGziD5OULoV 8Xck2yef0xnhpp7sfTNZohMgAM11HFhOwz7Jka3nckr4c8OO+pIY66+kTnqQnpcDA/2Nz2xmNw2a 6CPTqzvR0S/4Qz0BoTdT/rJJEcznxelvQhq9DJP+sadpNageIBQE0c21sZQQbhEKLuPZZOfm1BqT 06Y1OZtcnBN0GW24z4AFhiDf3FYlGGMo2GqDrzmodSzhIPTG/CAegYI8X3YjcFcQlU2nxZUl8wod YHCo3nw+n3ZGcK+t1fcej7LacCSw8DmtG7Rpg1kjOBxJU+Ktqwrf6RDLPsbeY+aINUm6QpfyVNi8 sMLJXHZCsof5HiTymz04crsguBGht9VmFEaMcqtXkJON+rh9FqbQmdWx2qM7//wfcv3VfFNydHHF 0D0Sm/+iXejcu5QkDQSWSFZyN2G9fdrLVBdcFz8dtLW7qatv89MQ1AJRtVSJzHVVF+VUl8Ua8zzG kHqzy48YzqCy8rBgYd6yX6+GnNvoTePdmnac5SA8rItch1Unn9Bz/5knR8mno7EmGXD7mZBcCKw4 69Bofhz2MGNUjI0W95cW7FQ0hk2LwVkaqjP8jdsVeROD4KEOhS9kZpAeqQA+4QTPIYQF5lLflmzx nZsvQAqHkH2krAafs0jIKF/0WCweuOxINrOtkXAzFMY5XLZABfbxQxmjVBDWVBwzV/CcykcazpCy RTrt4fboMUE1AcKQN06RQcpI1Z3or6TG7ku80yOsjecwxj1DuGSnRom0Pi9E7I9dcTGQyox30rPW q90DSoC2UJONhdgCPvuSr6xAW5G/xyAWthd96OnO49+pCq8yGqFjO3u6Q+XCDikQ+JNDkp9d7PdC t7tGnLGtZ7E05PMpOUe5R8OD/1GsfOspNkMZr4dXgLk5qRvbAyK0pGMcYJxwSsjs3vY6z4r/KSyQ gOplnixP1Io+38mSjLZTDJc5U7XdBPNlg21dMlpR8fxoqodMpXQQWNKkXfRX4ZVfllrrjW6/ba2u KhVo1jBjnNKacJAm9qffu3apTMK/aFBCLjRfZ84OluEWq5GMsA2x3cwuO+u2KSr730920hU3yB2K ih/Iiy+XJwepLjjqoqpv/dCBuXftrZkTOyjYE08V7URscjSlfQzeJ+j8VvgbZ4Upt4DoN67FeI/H hJVaDBjs18Qk34Uiu7O18lvMbjL6ergULeWYRhR9/kRrHuaGhMBx82SIvlJcfGO4YG6Q5Be6/Isr o+qm4LoJdi+TjcAsWk291PwhScldJxckay5VvHaFU+fCRLt8PVJfh0ldJQd8tdTwiK/d8XDC+IRj NEWnxFQCgvOZgYZcm6mUZXFKi6KVZOy7JYKDpkKStrNDqwF6hbOHbVDvgLsdstiz8Hdw5T9dfiFp +zIzaPSIUrHF+UprIQNIabpDKq422fyGbO8OeAz9bvnIHrNH5j354yTPLilwF2eOLGI/ldK+D9oL 3VONLS6BNP8no88u6MTNwyPTmEByV0Dk3EzWYxXXJvamLJEAJjrZHjWelWaYOSwovv6uiEhiga3f 9gOzxlw/R8/wx313XsnQuqmeDiqcLWfoBH+SZNzVFEfKPo2aHg7zZPdjNfoI9wYxuWE+oALgpDK/ OwKOpb/IKlW9FdYycBRG9mOvypU5s3INGvzbLpSxA+DRWIHDotB3SebR4s4dHCpMqT0m2xe2Y/58 9IRoBca6cY2ab4Aq5ZDw6D2JVcUcfJZjOh23zdXzk9ysG9XHXkYUmKyDFbXAzYjMV5U70Q6W1wTY deFpKvi2QIJYT+U28sA5cF8ZClUeo7XzWJ4bh8zQtlQQ8oHPOM8ZLM2k+7MDcj846xsdMzwrcN0L iOY1+bw8v0hHUnUomCsWKrZkDN2lYtDX3WtbJY63xz41xFO1yOiCRTt3xIC/t22HwuIk4fOCfiqW TfEF2w/AuBqHSPu1Vnk265Dqbu6CbQNgr1y4zteCGg2u5/yesnI+157/TtWhwz5hiDyihtSTlUXE u9L0wgMb3nP/8ff4CBR9MUjVTGgXkCU33foMur6uyCT0DRquST5dVLTUVa2gsFV8k9qdxV6wglcj Bica/cFI22vL526LyjLAjTfxJqLsqPNI+cFZbI7/vtqLRHC0pUzYjsPu5vwRkQM5TYsfUS+do8vE +WPaMKrCr26Hj1+ICONJbm8MSJuzznHn28CGO9rX+/teWe3De/Jheclf1DLstKNqf6S5rNSs481V hEP25KdAuRi5+U3HS6No+QpzGxg++aLJObOfZnC/vyolOMSz77fUeFtRC07Ih3uJcoZQhiCMv39g jZ2RS5Y66Og50N5fw3V1lluYu4cqyQcF8bplnbq/2CQ6Ys95Hesym+vKPBrkG+jTouDVZFlvgkgF l1tqxy6M2cZJIL+XH9kIMr0iye7Q/NVGVXPTw3MzuarfnDa2uDdkV/kjBk7SUCoGCOcxI0VgAQYG LxugkXgRew6ElWxgiGl7iwLcnNycjtgkgHYFLAjWky5mcuw7abyezZyaN/2eaxhOgWcmDhDMmLIh DfH5ll4yI+0jMSQBsUCBxsZO9AqhsB7NrI39wE6z0c3316lMvEEKZpYkKcJp/sFkF+H9sgBpnz1P EwsZbR/EX+LjXkhf1Op4eJaoKYn5E/pWfBWqxl1NwYVeaUipWmdXZ137viIuh+07fkA1tZOtty3I 1PeI7Zs5+p/t+izcnqpR9dV23m/535Uerb1ZwCBi4Az4pw0BhXR+awPYylYZKbPsHnJxxcACncbY iXDd9gJaDXPqOBbZMMihsSdbRM2F4pKeHvSbkINX0TJa60Y6QMKW5EZzyAeNjyJmRB+t9xM1q4Ky BIud+Jj/dNLcbNYb0nhprq0TogIDjCzKo7BpnmWTzLJdZPPb46dSyGn9x0aukF7OPIlP02qd9aTI g8EFSmqwwYzXW8K6j3J3VWg2TTfbv+MildixcgAZ/tgXl9OS1+tk/mie9BLnHVIUUrjJnonOzD/l nd50HRdpGnaYtsJNKhu4YegDwhZQ/6MWbN96ixJxB+DXdTKqIgi73wl/Iinkg07ubYYO1YfILzHU hM4mhKF/BB+3p1FcwAiBReEs/hkAmD4iIIf1kZ2dTH3l6FJQEXciagWjJ9CDEEFKorfklRNjRe2x luCmH9pLpwBMGkW8Hh3f5cEphQrV/gGdqGAsMl5YbV0jvvAtkZO/OYeheoMcfsbGG4e7dd4+ZoQm JqGxolecvDNvPJkxAvqrPQZFSC0RIZ5ldxJ3D7fq6mUgeZdustOBbCSo/yuzQvsRRLsttI2h2x1m nFKtDVPKSBoN9RZLLOqa/qOp2QsoD4s0lDczJkz1EGrArelWqfdvcGcwXqrryCzun5b32xeC6C6j wt5nFvelXCyFLj5wleOJEHnAIWFdX+0kwy6P7c0MSBGCZOs2BVGHeys89LNIu2u2zhb3aYG27GBA RnLh5Q7h5+DOiu5mdXF5Y9wc6RYF3teq+RdUK3mnyn7PmN1e16G7Zs9rJ9QXjeDORQzdocaKBkoO A9DIppDB6mwjB9rO+RcuKxvfgKa4wg7TSaS+B1tz4EvjuERlTVCVyONfLEdgTZ0eQb0UODG8cPTO CKptYNOV/NXvJ5MB/aRoWg61dyBwNdubl38ASl3LtyEES7rkLcczocpqwL7EUeW+gvTA8gCISbML xPj97+QwNURkHHyu+ycYhgLS3lXmD2wWF/7qCkUDmVy0NyUuKA0Z/XpBCoUHsuMBREyIv/07c6e2 IBjeGTLSSpGJw1dUmdlRz+NMU5DiFa8CRIN9fK4n+Ao5swF6Fftbl1587sgGu3t+TdEbMoxFGYPc QRqjHcnxC1VbfQ1YbDzizgww+/E21SEuKLEOtARdov8li4zCNsweK4eArMwQvz03/hg0+xABqyX4 q13jGtjRS9jF2PCrcWb9/cD2sYJmcApfi8uxRFBtm1IKvtbpV9Z1dTjlg3322rl9DDe9cODIE+uk Rn+YMqsmJYclp7CApkgn1K6B0bU0zMza2K80Qo2z+gxNmi0kdA0TVeNBRTo5NSzp/e8JMPCnzf47 gq+9Vmz+7bXU4cmTRcrAoONxGiCMEZlqhO6Yr6urT4oieTh5FEdektNRHpJO7MxDW4TwJTtrGdpG 3hMt56mYXfOxuQBOpm0zBp1Z8l90+JVHDEU/+xRpJeZaykQVeKZMrQBRU0bgDaZimBSavoKpmu1m rfmFLDWFufy34f3cnPUTwiFNHkg0YeJxLqMcpFsnLk7Uksi6z9A0inIwo8uIh3EyK624ZibDNi8i iZaBoDyM4ZEabKOKSsalNro8If7iDUylVdinXlaiggebmrlohcywHI9ao9aPd5daRBLuCbesqIY0 9dF4pxypxTMniryHpl4mo26AUO4ErJUEgtmksrDE0LcpneHvZWRCfLCabBxk2nuSMXZCg3RRDngd kxGG2b1vWQCH9kwauja8APbZtJxX8Nhs7t7E54NyzU8BBy64WyxGFcLBKFjS0/onygL9hOW2x3fP IOLE6XeA/RvEeJ7UaPRvLGLoKGLqj06xM3nynXG3+DjJcaTTaK22ScrpwwocBYlmhaVRFAfnh5cj thg0qQ0L3Ckcu+lewn2JT7IT1Li+HsbRzEYpfG6UBbMUwD9RbMCYUdyTxeDAEmt2pEPo7Fb7xLnH KBUUGsjf1y3MUkZehkbHcVQHnhksFLDQmfSG0PPqTGL4R8LDsGz2JD0G6bGA9Y5kCLUaIK1qDBvS cZcnSmnszspq1CwsRqlxWZJisjiYtgPvs8cMtq3TVivqAYLasZ58Ha5dIPH65dh8xxxa44D8Xwux onQXeI50QIc5/Fy4wwX3otC6E9O+x+a7CBwYL9l9clLfew/oQEPfqTMaX8hetrjqmsp+BZLPOJE9 6c+X91eP+Xor8fpyiiIxKlnPzM19xbO+SO3ZJ5RTDZWkvK5XQ0t8c/H7uxnR8RSnbLzltekYSRdF 0JCSVn0x3w00/H5KvqUupkYp8RY7QtBiR8y1ASwksuKp2azJJF0AjatnhB8gdnzGCfp48JLH8QbW 32CF1fMHS8FFqk2DUDI8ntbNug6e23LyRMEpgPIbRndDu06rcsr/dUu9AdAEvOrL4vdsM0PQtYor YZ74Hrb54yIzxUZvSMtjtF/h1Ii574bDPoaD0BiTMePuK/k9n5UGoF5A2b7Km79ghP+B1AaYatC2 0jmB3+KRvXZyL9/a2hOuBXGADYMc8+vR0hdHuTAJt1B1vWyv66XuZyLDGH8GmcQGWwQYNshb2g/S 62lNrB5TvTTy9+ZDWESRquBKc4Mo+vyIC47R10HFvQhq5il7a/N+bBhoPQeud1pWBmgOGYaAV4hl /082BomdrhlN1QBqiV9slXK2lEgCEUIy2HEnoSc5a2NLLklkSEKYWniZ4S0y5RCl1XbQ+gPKMUew 9FbD7iosSQmXAi+NzkU4EdgD+yNOc0v4sH2OVSPz9fTBuMrzMYu6PKZimzDatuih8QtFOFzZpuhk kERSwi+FrWrHOGuSubaCg1dJN0Xcpe++04LRoNvvisS9hJxGEztptFt3CYqYFJwd3E1FyjVQeFIW ucsDgh9huiogISG+t7h55kvVsqr0zTjeuqJf1mLN3/3zSzw8zpRucnBC5mC7IuMk7QdZpTYk0ykT D3kIY7e4K5yFg9yLH/OpgMEDUU1SyRCK4Ps4DCX2Lah+RVY6QrG8fDrfgyo4QlZBughEwd9EIsS+ IjIuSJX+DdrlkBr3qMqIFG6LlS8YqPFMDic0C1w+wjahB52w+VreJCrllaArbcbVofQue8IYGKmc d63Y7NBY0daxCC78khxd9wT+1iHm0WXpViQSA/XSSFI8TAZdPRsnTC+sq6DMxyF5Y8YVF3j/jOOK Uj1PeHqkQNk5J2mVjTJArWZzWc5roG+vl6uwnnC/bWMHEwRDXGIJgpfHEUwcSdZ4M8Rm/TazXWj2 sSmPXt1SeG6FrkUBRphcCMRWooc4apL1yUpDtRYEVc7OFSX3YQbEX5vyCFX+loxmEWzmAakMcxCj zMyhEXVGb58taqFCAw/3cLorHzfLjAgSqY6t5NE8hiq+dn5k7II/iFt0Tu5lkT0FdyEpL0J73bZA EUgzcYqo4L0SQ8nvwPXunwHb7g4sYn9PRj1R5Dw0aGfXwnnTpzyMgArQ6A5mpQe57twzJA91kRSI A7n+IiqnzW2Nhh4J3LAz6Z6d92h9DyO8q3h5dHWgNaOa+7nWGlWbE0n0SlCIdwsebhQ6LlpBrTZF b5hirHS7E0/O0mwGvBOBhAtmECgpo1q84e5zSi3i1w54KKk4irGDnRawC35RHez7ZJo8rayKsErH YsNTgtbF9YcAbJtdNUqCEf0GhKhyVUIQygiEVBxIMXuF0Y7jkj7mSXP1snnPfy/HyYaFAyvn6MLa OVF74/ANSF9YWawlTvA3cpJ6GBSSmfFDBYQ5QmU/BB3QKhGvwg+gv+7tAc45B+iFzwogk3Vd5oTT h8nQwe/dtLXu/mALj3sdvWim9JR11OA4WhOi4YXvX7t+iDNdbFgbfG25+9DH2TuVKDRk/HPKCayG rC4uVi6gUA8zxX/dvA8Sv++0sIMzI4plHccjno0v91xRUQHxuP/s+vw8hbxZfJlRQqH/Nww6oye1 5Y+uyWiHS6Z9FIvB5lavdQkwHIM0klzkpnE01DlXe7NtfjG0S3XrZ7Ot1o7eZ+qiBZTG33HnJKhP S860iIIFGlldB7dR0cpUyH8rtPF5TzVkcqBIjP5z03p9xb8LKml3vwILBHIYcgXW+MwGzcNF1v7l UrHCMX+zJocC7F9gS1Gu0+9g7A5IGu19IdoNNVgENqxNIft3I+r41tDGn6FKK8xcPzmBc/WcLsp0 Rz/WKzInP4V+GLOXTlSETGjqx0VeuT596VVWx29Tbm7GtdZ6Jh3HeJnLvLEhdNvo48j7aiBh3bdK SNAOij+aqOQhjelLMbKFvHlUTV3pukfm/+EkXBROHr4QsVeATOTRyKDR/2ChpZu5QXvv+CSGvkfT /sfCPMi3UHJY+jedkUbsxnl1yZYzoO6diH+bj6mFoKqLwVI23MjFMa1yxLkyys/KwPDwGUxdULak RIhY7l1wxg6WK6xmVPHUAGJYf7geX1x6G/H4d9t//UtOZGAhQ+BEWzRA47zUX89IdRFEtIzHGnmv jbqRO2ojRxSrNEyNJHyTrBCQqxjNrBlySExTu0fG3xC8J8jgzcEvKE3uF7IirMaNvXqduBwd+phl C1AYkcYGl6s90CbPJ/NRkONb7IAZba8f2fSWbHUPiEcPPQbslcclh27xSZPng9iT07wOEXtSUo17 eCHjsOfKVUVegecjnewu8izfL+TBQPA57BfSVZ8AGgDAzCVUNxEywY8KDU6HTxwQEYC0GusyqPAg 64368bmAWgYSPUj37jwomlvZBMxzmET8mqJUjR3iaOrTeg8tIzPXcU2/7fbAJ554a1NkFWEr9gLD zjlgscPXzEKjvleSNxfF+Dv9aZELaexDZ+5qiQl3s0Nc38XyYBKjQ2wVZea9xuAZNUb5yRWWQlPJ J3IUITAf8h0x2lAKNZJ4zEwSTu6gWQyYP+ssQuJPgNReuMyk6IhLry7PBLCktNaxuU72RqBVjS2h z7AEinKZkEDjAiwwrMMqUZYLly5k2LsyCffudqnRgupmB8z7lu/GvW81sHn6gZkoaNu/ru+ndJbO Kd7wusHGzZkneNZFeml6AFSHKfAIabMqVqH+Fv9PCPfV1/C8E2gMYF+bo1wPkLKwN90TF5IasHW2 9jhu5zKMG9nIbtaH3IxTxzm28VwRivVWI0M/KZdon0+pTDx7Vdxo+z9NZjXv5zOYPrr8O2zjjzAx f/lpNNWQKjzHWdRiAL4Jg3he+AhIlWFbArvaKTRi8DxVLzp69a2Wan7P86wl7autrOGRCNsMoyyG B0+eYw9BS72BrbqbhhQee3C54tIXxpr863ywutItlqtk08ZvjnTdSNo36oQe92zmHbeWsIaYRNsD tm8wDjgIVA+kzPMEum1CAFSH+bh6qfEPf06PCpLGjyzk63lLtsd5sJEiZTP2XR+eVNfk+uPvaBKl rzCifA0J6e1qCopbdxqZtO7ko0oAMErp91JGBawXvnfC73Y12USrxv7Sea1+yKED7ge4taiQwMI7 njL2L4fmBr6phFyIsVRD6H+V4sDzztEZCpm/R6g/SU/GIsd8cKbF9nvltTnTHHPE/qtUzc/kuvF+ j6ELtlaiz7URWckT3vUpYPak3d4D1Fj9kcFanWhYechKgPQm3DmGa1pp2yYDRaKnBNanm94jERn1 P0eVeg/+esXbiwsTkUeXHZyQBfbZSamqPdGwKlIN94dJjBs5wqjuie7+LyFeyanbYyfnB8mfKAKK y00aX8n8nOZJezTtJg1QhiLWfS75Rek8Ebh32jJzO6yGRGgjfB51qZalA+0P9oTj6JzVGgHEYyKE hbCkwoB3nOPOJ7HtYgr/2C04ORLJryPM5t2XOOfy/aWE2bHjMrnaQLlgNFXlILuiMXo9gorm2T5v ZbUNHG7/dg8gYzB3zSVHYQhOgDVnMyVHLFH5+eo+fDY2796J4kWYgY1SwbNJqJBRe35aUR9zmodR ZyMlQ0XDB5prjDJ1P7fMs4EOVWKaAc7/Clu1TYVvqGG9MlGhK6L+0Fyf2svl0DKtT6bxn+J17Sqq mJ6cbjxsBBZZ9zbYHW08p1IEqaWE2YrfGKNqp3Ya3Z7nASGSYlEMtIUJ9eEuvnkPdir/1KJZTBaX H3BAkD8eb3vqfIXIPsv0hCLDT5fSnLA4V84DY/H1c9ml4PL7BJ7uonYJzpT2TRdlfYNheJusjR33 2txialE6eevsz+2+B5KHVjUqp8Y3Ogn7QLFcDSwwYn7s5b1kUUZ6NDVh2G0qlpgFdEeTDiMii6QJ F+y6wTeCsQE72uJYzb4Pz+gB4Tf9z3WYxc6ixepxJpT5znYF9c4t1kzH+v5NKnM3gKTSoERg2ClG YkkRgdsa/IdR6Ui/B3UuuN9keI1tyUaLayq700Sgg+2hf9zdOwjf/3webTp6H9jUVDCHr0eYBaCv 6TcCB8svvJIdYdRlLjfi2IhkLXfOym5q6LD7nEdBU6nZbIxjio9sdCj7dvKUKWLq1MlkzxChnh2G Ns6tMNTwgEZGYcZ1MZ34R1b4DfjfBNH6Iv82DKdDe5R25Hp0uE1WzNPB5za5uWbKDBnfx50eK+A+ QAu/xeIh3x89xVYWcXSdghPiZpUczaEzqExAvoRIlYfL7HNzCtoNzHCUXsJFziG66qxjzALyhhou EpjTf1Ls/vPnUCRUL+YCzy/UCcJzSRtk+gQmtLfZ3v2k15yyGuF/v7kW5Ta3d50kDJiEnD1DgKyl AFaVbERxBTwxLAuOO7MwYiULT5jj8Ab3yqfmfcy6Cd/B3nJ1MUpdAtV/S5KNx0u7/RoHW0GsySxA N3nL29yyDG/tlUhJ/uJmGtovS7Y9zYJ/gGigXx4ORjkaSAsssgwxrviC7aC2kJzFppleZRc87AC9 mUm9I4vmxRMlQqo2YjG0/ZG8BTb9Hrnm6YUIeIQFrA5JUeJp5p7D6oVaXCAj+8OxbKntiUwNw3d/ rtjoiQAvrLvSmV4Kgeyd1LVNcw8LITdSU34xFMG/NkeFaghR8iGSh6Xf9enTXk+9fNC6lcgTDYlz wFWpMnkr+dpNE6sHVZgOk1BxCVr8ES0zHlz1JjDC7fPmc5YmqnMKZpB7l1z9q0cOr2EFa21BmXoa teralqzaLZQHtZzmEiuar6Pzf2i+4qfAB9/2jyO49YCBX1uBLw5BV4p9Riu1NEeqOM2sDQa67i9I Ao0QKmBde7llm0SycKTC2hZoS9Ecksm66c9YMmKiIIrq2TmhxTMicnAHuXNrF854KJzryMYokKON UhF4f8qV5D+vJfWhRIB0OvhNR7giFR8dzdFERn+NhkZqWHfoZNN/vGgGWLtLRixncukpd2rPovuw yO5FsR4+/Y/CDvJnuhYmI3AddDxcdQmERmzqeQcooeS2N/qoY28IYjtZ5lp1Fm613R/Vy7wTc184 tqY5tPlQdNTXl8Qx+MzPpTpbG0Bmv5dHeGBWhJd3lRpliKo917/8OojjYZueXRJxegJ6gZ66LiGN uSyl8lTivFDYUvHLsOEoyJYiB0x8sMFgwfbk6K5MmuiQI/BMra4a6HmlQQZpJhQMyt5xeFkdKwub ALmhbn9eBZQpxGGC4HsPWExlrpewu5CC7oOAadRpOMKKYB19Wq4EdUBuJRqUUCQIQ7PeTxnefWq9 /PQgrzqxKCmLAG4T7X4LVkMuKPsRUm+nCUCnQQW5Cusj69E4DxyeCNJoyW6bdnIXWBP1Qgw0f9WF 0Xt4vlExE3kz2mFTIrp3mhKO135nmQ3+cyvAxsnwMGrQFfm1wnLCdK1Ir+bT/DjPWyOH72tVo4Vn t3Cmxr7DQsNgTTUvmc+kIQ9JR6ZKGApoF/4VzPjDSCd0f8zmxghX8UL4WxQW+VsrSYZ3MTUfybRq Db3eOcC2Kunw1mIipPSovTW9Z6bL4odJo8388kxAEPuHmt1GWNg6YtO5AA1l2SiwUdc0CVNbAw73 gAgbvOtsIUPmpKEwVyjoC9B0r7EXrxK9bYFE3HSKqTSVn53oRU5DpgbcMo1sapZ9R022GbEba8uJ JrVZtVVbJiSzUyaOm+oA1iRbRy8mRu1uy1xJef35nA9+dC7Oth8+ylaScSNEmAPNwgjU2TI44lch xt7E1vYKB3rD/DDXYBnPKzJkBgGgXA+JdeC74d7OhPp6WCxk8lHh/uEW0bkfP3B7g8cgwi2zv7ol uyFQPx6bMsw/plc9G5cFmX3lR5GwT5bY5yUrRr4T+/AUy0DDJd4Z7rg/btj10gZsIXOJsb7InlHj /ezCLFithspVa+OthcjbmyOZcAR7LqyRWkdLlSVgKZZzaJV8Q77zirCxZYtXNIoLMEQtRonH9fIs /mulpEGcqWnOT+k8S7ixk04ANbJ1Z/gUJaSQZZ7M+hOryAE+LTDwz3ZBm4YEXwOXEBxGTUzKCURz hj4yv/yut7ABrap6jEKCXo2iz7I3+PvbSUmPT/4O7XcKOPJxc9VEa95XY0D8F0KF4dq71+rv1o9l 3CJgE1fOiIkkZtRjRszC5/Lk4QAQCy4mSPBVdjUF4CsAjYT7IGM0jVen1JwSg+9oeeZvRf6/W6GT pF4pRUfCU0Tcu7qmlzU0eaB0vn/0JFw/54tbEYX158elINLaTHt3dsa2rAQz72RxAXWoTc228qCl hM9BgsJOxidGAfXkFmxgh7T834mvw08EbKHzi6R26iBrplFC2F42FWqE1Giiuq0mgRSiSLnKjP4v MupmKps6Cy0UlpyZI8pjzToc5hx5tysMzuwL5cCqY7EFCo601zUP1FcAuFDkMKyFeNrqxS61mWSh NuQ1/lkRbW6iOsH5F4xGryZ/dDy6X3DxX610wV96GXDJ0sUGqYpyn/B4O5qP3PZVbheRSxlFY05M Vjd9hlui6+EC+5TyMDSfPBJjw0tHgRQ9B2DNMJNwXJnInGed/9kSYOk5eFa32Rs80oQghrQEV6nf y1+C+CRz6YDFBuO/kdCCdMh9O3vdwDFkhWezkCWn9+52mXriYuyPX+Ye//2CNUqkzeuSF5pobq34 EKO6l9LOtiTxNIYUUmcQEDgjgGRAtB1uK6dGdhIb8S+q79KsR96yN6d0BWtl1q7qLmDDub+Uwiiq kUthHWjDKUUjP12IXnJT5TwlFnr/W0XISyu0ZtyguBqn9Sf2Fr+giPEjLzoXT4YM8PFFNrP9zrBS LsXV3zHYV92wNOznIq6fcWoIW40Q0wpNePUeLDV1gTCU3uhQaDe9h/7yWkcygRiGRWLQ1z226hx3 AosHV17F7btHm79QGSFOYgtNJauTyJy72EcOW/wO0ZxmpNNXIjtv/PdSreo6KfLnSRAbKsxKJSV+ MKfOxhWiHDz2To/cCFFRsw/oVfBoaldbWZS0YkSzfU3PKfpBMINfnmy3efzsI97ePJ+Xn9+z7nsM yYx+Dyw5b/Bko+QlCBZp5JC7tQM/P1jfPEj+d4ptI8mVDR2tABDFPnHXq39d8Rar88RZIP2xikbf 1HMGcJejfeluig7RiWzJHqbWwE6FxKGlJrPPKcFSe1NAH+X9hQsbEeV/hlHgmT8heY6Q1vP0KR3a zb2XejMVT6RDvzin/1ylcIJF9e7zPhph+8SHCKxdozWd5sK6VelMn65TIESAXuKV+93Nlu5bIMfC uysNbb/qogElPcxAWDrb8nnIWX+F3W0UB7gkIKi6LEWBhFEMMdWrm51CHTWC3KUhoiJFlxvn3hye iD8YlgAL9P9eKfru1rY9utnVY2XS/dG6oLZwUoBozG/vCUl/z2iRK95B8Ic8IKBx+K6ejXxaDIvD 1UdVs8QyrJs/ZN8oJsT0//V2+H1hIfTfZTPxlt3u67gUSUm5+IrBUPauRKccMaoJ5m5movkXNVCO +4+7pTZRQDbuEAKLzkjQANNWGXwexBUJOW51FdQRps7mtstlGGSVJhgSZB8mmckuLP76VPkx1QE6 MUKW2LqjMOCyhIwNmzVekGKHuEHP9OgXDCbUV0/ZUfQFaqnf+Uw+JcPYNnFnDpC9vG8QMMJbL0ky pL/TrH5fByNAtZH7KSHJiazu98OaSSc+yUvH1JcVO/gYDlf8Su8oIWfC9zxmgBhgIERqxtjtGXom 2n6JpVVHXa76MQVVP9W8z4SQOF3XJ22FlbNBRHktAMD8eAVSgH+LyP42d5MedZT2uZIb3x4C0jkE h75VmVoRHCZYCuC0+RlLRQZYPzYy/GrZmLA62roXe2Q5IHsvoBqwOVv2gENX80zmUc3Y48+hF+xj PD3ifE/vunHXnu8VeCKyOLWp1vz8ZiR8m6zAHsWUrVwcgnSeasGeK9RSC6yNNcC7DIu/CLOAmJQl RYwOh1CccYPddi/YZ+D85rabeFraI98dzBUdnic5eHqRU/0t0MRN8UbsTLeitSpVImlgAyPR6XWK 4/qF56Gs1j/IFbqquSps3OlTlfoA4gjHG/cCzelvkPe/k/pZJ1hdZyjObYwFte9F5OD/bBtul2db mw4kC34PC3TcccPOa6ZJwFOAR7iBbv/Fy4NU6ruNVubVuVH2A/+IOQlOMjUWyoYO7t/3PrIzkRVE HxaO6Mbq0cKynlxXZe+Fpz+MIN04wzMr0dRdEWxW3QlKt70P/WQJzaicgEF/XR1dhGCrd8BDWPpn q9dTLTcwQ44JSwl1pUT5RPV793HUKx2zSjuZ+Yk9/mqATrJpKe+HBaPOyw/PjQ1ANFL3pjhw2n1z Wfow1DGOuPAHRVcP7/mJ5or/kgDV3x5W2bPJ+v7y/1fx8hp8cpdb2aYmP9Tf8EopPrp/LF8hzwNT bfi+KC9NGOw1C1Fh/e6DYzTyuDtUBAFwf9pe9s6xYlfq/Wka9rNha7bT9OsVMC4WwO3mjob8e94h heF95R4ukK1WdD7PcFDlqgWjbGbZWud+JOyemU4XZndlFlEOcAkkAy7Z3sUNKDD0HLvoQCp203tA hBk9y/MXrNpMlIE9qWJUa14LPHV41EIs0remPhtBSFAYg0JyNvWspgDx58UBn6cA5k/14EKFa7y/ +T2CtN7moSz71p1ELkOHo3QV5yJ6+13rXCxXpahdbwZUdzFf185ynO3OpEqR3aieqB19Eowmh68m 0mhu47V0cAUZqXIULocg+p8VcT+unUC6tkaFIprQwe2741gNq+X0WKywL2iKIPxhDIhgmtgTL/1U AUIj00M/Me5uQxIa4oT0ODcUisTN0cjyoWn7P7dYal3Gc1DXqnHPvaD7m6ZB42JQOOXnGsmtPuzJ yiTzbqCIOUW8lcodsDvcUtiAV3dCzw6Sr962ScpqlW9u7qwOKhxtxDnSu7ukBuaO+utyzgYL3fSN faH/BeMnx5gpOOvb+OjOXZzpe0qkH0/jKJVNiWdYE5ZUK1ebsS77LJsux5F9+Pd3WgRFtb9WtyK3 +iK15v5CRPQjTdwM6NCjqHDKTbodu+8EKQLT2cznLVIr/d2k4qk4fhT2kdbOUk8ScKcicspJf76U IfgoFJ7xj+U3ofnJnNsytyoE6sPawm2+tuR808EBTN4HZRM1jjcZ/OshPmPmdQGMo66FkC3BlOFE H4kDHAUSSnLs6ELjuovDZTwUwj1oT4wlt0+79U23J4ACmnNzol8FBImHl1rYK5wclwuE5B26buWw fo3SEcBdyOr+bfVDIS57pUYMozdpRl0acz6RzDn/rtBT3ruD5ZyANPSzY82AS6zx8TIe0c3RFon3 LegK8jlJKVsbGvdT5ts0n9cLHHVYR3QkGXlUbqscdyk+5PkXBGS7by8FOM5DNe07puSn4SgrKv53 ++NIwm5TAnkyV7n2AHe5sU2D7hxOkQmQFAgO1ZSb+4ydhenfCLZb5Wbg5QVGNHCE/hmVdEqOTnv3 +qccTClittxGdwAqILxxFrHCBtIwQXarUgQnrHtXYZC+OzH2P0jLveXlVWAD1GAHZgHvzslMGli5 VISvfhDtKxqy2wE1r+rpc/j66orGnmBqaRnX54Q0i19BYUXMiGTtHqHW4NAFggRr5K+orTmgL6Vm CGxLzVYE1EjBE2VdT0hVqW+VwbPwretk0P0hxUZv7YT/7jdBor0Sl/aOinhqi/iInHNhtgRVIimM 0X+QZ0Btxc7zYq7g4tjIk7Ra/qXbT7kkk8Bb6OGEnp4P7V4i6Dvp9S/+xKzWmwbJp+xVAaREPqok fCYCEtCm4RIIvoskiM3DxNxzjrB1uJyQzt0zwJXpv1DWkTkiVWT9KWIhyqIDsQ/1lpwBGAkKK6UT SGF+bdomju+tx8+/xXTGkXKHyaHfEAtkFFGkj2JA+dSbKLs7MYKGa/JJ8w+81oSg13LkfuGGqHyG 0rQ6qyJy/cvX0RuFWZ9GfLAixgbXVZys7zpSNPgKyTbGbB9lGaSNDYvZtzP/L7I7hjpky3Dr3fST 9Gli4kH/6g4DJ4OJuklPIpxo2dM97UUw8jVRw88x0VeLbsi6tOOGk95aV3vfM+QY65FTWSK9YpbK xxicVlot1S33kECyP5hT7102zx0804Sv9YZtluChNn1A7ZMyAV0MxjM8+nvhI2NyAml/ZC6HH6zf /92zsB+DKn9SKGpP+kO/ynL0FIdQg/OU2s6Yozd5LkHwqQUDjbzIu1poF47wOCEAanwXfUNmMKjA F5jkPZmYwz0aA30DwjRoea6Ur0KyUpKCXny96wxOPUChvIHpSXEcb6DyneHC53fmFfghIEOQx9LI qJ/knciU3vhmFujKsikOa1QTcqpbQbOBekC8VCEDClOsjiC1upDWLSpZVzp5EBti7THomYnaPOCq lsywiOsz41N1A+nI2aeYbPF6pk1+CtpumGfPu+vA3jzMA/2s47b3bdHIipA5BbHz7HVu0IiemJq/ Z0QXVK1TML9Z4j2i5qbu9r+8AIFlh96LDrJPn23Xk1BIgqvWXTlaYOu2dM7lzGhThTmcw7cA2X4W klRJNzZ6sc7XzCTsdW+UU9lcGCPc5PTgL8v4nosfwMHWkWLPLe/OhEI4zQwQVszA+nhUgUKFNyBT o/efCD2y3yXLlOEYnn9pzsKWfxEhsUrD2V/Q7R890I/sw0LdtbCtORUQq9Lnxr2Gta9ki62ngU/F 7Y3xQhJns8aXgqZ3falToHuMebg9nDAWpHKM+v3zM9L9WMchfo2icXy8QkiWVWty9X30H8NjtX3Q uXLAVMZY5AFA8GvY+RE8XhnglrmCdztGYU2abun9al3Jd7P6zX9S1UzXBrRQc29HBrBIhb0dh1ug r5E/iJORn3S2iwdKSXzHb8QsGtLhgClAefz19BXAoh8Cmd07daYo1KMIu8buUvjO9FME+F37+xGE DA/sKXgfDJ9O+cceXktyI4kTwj4O/gBmBJU4U2GKo9n7de11klsXa+VaRakwqdhjfHiXJpHD23k+ U21CcawjBLCzyVmehqKhozaMsCY1kG71PG0pW6ISedsVzFve663p+m2TPV64OsagLOdDvOvx0OO1 BXwAsPzdixGGC0SJ3sciurM3wX4ImjbVqBd+XgVgoJWm9lmdSf73WI8UXhyJPAIsJp+IxQ/dmpqC K4pVxbN8ijPO+xW/l/Aq1RiOwY0DDsDoWZTquV4gj41DeJyILfNJMN/yYibyfm4QqQ0GhGqKGt9n DKMZgrAHfl/1Fdfm/I4E1E1Up47xKV6pW5O7H4mPjel/c7kKfoonEpkrP8oraX0XCOtn58VTJXxe zJQjb679LBs7VCar48E707YB9DvFtzlfU/2ehkCvAXL4+ViNHyiKRqzMFvV3f9eMl/CZVrsclJcg qpuODIQ/bmXsFy1uZLlcR+A4/Pcba7bm0RUmqEEOeBHhaiN78iTieVZ8oq3L6Ju9cj6Yvd1kWq43 RShkczHC46Fb8wBbXlKmjOpAMXF+6LdTZzmQNI+lD6AfA7BPSNJ8FzY4kusjyZ2tLaPUWSZIpa4X ycn400LrvBnBlR662o//0tlAdv7u01E++jPryI42mOA1994V5Ca9rcCcbOfJ25RsGDnNiGCVtqqY wsYgrbRec/UWeY5OW9XAIv8Pr3yALIl0ff9VT4YYLel34e33zCMwFoPihNMACtVJs+4hWwtWu49b JOwxt9lw9WeQ35yJgrq92D9W9c9sfxvGAo0P1Lm5ps8Y/5IoxtC+pMVrSgA0xtpaWvWYX66DfRh8 vbzGxeLJihy0Naao98cgipeFSyjR6XrGytS10bLXBs+XxaXmDy8wzidTKRHGt93wXSaDjEpvJsuT Z70pt2269Sfx9JcpOOKtNoO+LgxNKLq9rZfyV0vSA/EzjSLI026GrNWlBWrdOpxNQQmEYnAyDoA/ mj8MJoTgCSR0VwHET1pqtGpKNWBFdtIQRvtEMyIROvR4xUl72D4pGiFi8xRknFo3kUa5HfKEtjZ0 bSdlWxJXBx69MshzHOWxQDvgFh909fCop1qquq+vnYLj3aGSlQ+A/X1nZdttgIKgdgYxE6f4UXVb d1rRY9dXs+sYmjEBwg0wBFIvFyFDkUuO+hJW727f7opg2N0xIAyNAcpM6Zdw8wVW5X/1W8eqF1KF 6nGi42nhVhdracx7OBGbK5f6GLMkyEoDQCW+8d3PfzXucEZ/HX14ZGo5Cz5TpxXfKCDbHBDjnbVD +yMHv8sLvh1CPigafksyzBwXU7zclY794O0Bu1d3qWmZ62x/avHBUqX9zUAKeiiXAdESUg7WNm9Q TI1cwl/2NxpLSyprdggzakFgKneKDsDoM6faaGsQ+uz0qoEiSPeyH80xnAJS0m3Wc4M654BTDJ9W yT1tQaCDOT85Yi0ihtvG7v1o5+gE15n1Xu0TeoM+ekUkcJwqF2hz710r/MmzhJPPvXuugqdFhiyk b4wojXj5whzHuQ3RsJAYd89ZCWvP7bzYB3xdr7CPbprndmGmpteLcgvrP2BKLs1EvQpSnHAAwREe HpalmpXl0KEidWvzy8GzwffFKc2mVlEqKj6l0gELkFyIMJNMzbjLxIjRSduwFf5YlBRtmvWBvAzP FTXyoQMd40d7m/4d4rYEsy9BEwM9/Ppcbc+aubCsreTHPdcnlpBV/wVReqKkcIogKX7+o/sOTvfy caQnqa8R9PUsqJKmRFEJUF4g7468ZEYqceuHt8agPus6GwCl5l6L9Avj87khYqwUcHABRMpW2tA3 eK2Vtb+XmsZDFLnPF5LiEAmnC3i+cP2CHh8d3AfXG2KR32m18Eg2OZgibJMsBtQJ6Jn5M0XpTNH/ X65LQ9I8XS096e4Donja4jwHKUMmE8ABgFLqCIqJf/m10AI8JrGLjUysxdgjDuXvbVf5TBL7PRAp fAS3eWrL/85PK9pdpuhr6IFI7ruP5USeUstz13shEutxb2UiNuQOs0NHdNt9By+9WP5Fy23ak8Al aipS2bZXfAPCBm7s72Ep8uHnqEmWkvnQS1Ignu/pQ5AvH1zfoW1vI27Az1MC/w55TfiCFxwbvGUB qyG43Y86ojpLDpsGxLaZj8aU2Xhmk1VVHRQVHQ9acbSx8JKo7yFl6ZG7BjcZcNuOX1/A1s2bstrC s/8Om04aBNBBAv5PmtgKM1JA4B/fqXtyOSUXYHN5PRVHTauWTwl0GuX4WLK66AdnbqJcASseGUOK 1DF5Zj5Iz99PK9vQTdP6gORNqfBL63uiZRgoWBBXc2CIdxUUxFshGg0PCvqfchDcK3Tc6FXOnGfF opx8vn16jnIQnKdDvKbsBXjWdswoTxSMgSQvZj6Kjesgn9PFcbH3LfyIKZcuQ8ykj9xwzZE4D93d OmiRApE/cI0sfA7+WwOwIMarsMrSHiH6LCeMegplxnA+OEBzQYI/JgjEiN+J8cE03HXpQJl5J7rL cL/QwLP4dO0PJJHxD4znPXT/5yJBCi2ux1LlWud5a7Him+Q7wfBG6FlToMQzHbFX8YRSeRIjMKz4 SYmoOpm8nzL19tLDNeSMYWU0tk0Opzhjx9QRa4rShQfEX/+Kv/YIhXjV8FmQo3OtuZx48V96MQkS Qm72Kila3qIMB+KobatxvOpf2DmgPsfAx482H0yPmzilj3lLKHGdX43lLkqe/15tppbotRx57wvm rNjr+k+NM6fX53PuP49wFsdG/OweTSQZzpNYzOOuP0XRhX9N6+PeO3juLW4N88GMrJnLT4m2x1kZ uEQ8wt1d3NeX/GULaxCXwFRC98n+wb97nlPGtQhss1OJmYeWaQucFWkEicCzHyTi4/bmijMPWk9Q GtM+5BuY+nYpsfyf2A8Rav/ZMERPxS+wtLz0Yx5G1Avv/4M9ICXlFQJp2LzZXHNxdIdOtUFAMSpD gk4stjlGaaJt0WMsRyAIjnDj4k2Sxy2MPZ4LSaFXQ5ggeanLTkBDbGqKv/TnnnJDEP5ECSn6IHnl Yy24bb82ykOMLDYQjfQ+DiyZRE13imwHs/bgxnlK0daOFnlVSnwTNK4wP5lN/4TYz0EADWbkTE80 TjpxlPgkTK2Hx/fIJP0vY9jxVm//MEVKe8/kCe2R99MKSRkemQPaf0y9ItSO9vOR/QmbInTBNb6C +MwdOrWvWdGHoiJ/hX3y0ZFOs538A1YJN4OJMCT/MvhXkrl5ZkLTWF23QVgjIXyefJbV3MHrDYPc KBu0MT6r0nZw5lUXQHOxy+8DUIyzsmAKnYVgjP9fvFcWQXSqBJE2sRXfbiTT8BrzhuXtv1ezIbEd mU+utEoG6W7XR1qC+LqU1UCFMd/P3F7J4Cz+wcKTiCWoGplbvQ+2YoGQ6LlHNY4n+6M3cNJD2GWu /zrOeQ0//e9Bb4KVldlcql6c6v2Srel60RyxWCqiWgEbxQnvYy1biHtoeanAQ2i5iyF49I/Ap83V 2uRgqNy0I4I/+TWXET/5PjVZubWLUvsbYloTH/Lixvf6jEkL1UDgpn4BsEcfDvLDcnuhgV38dLN0 mdNSLxwx6Xz0BxpkFvj9E0gdTjRnUkIoD+Z4h8Na5iQH+MSRoa8vVREcsnMvhJl/KMjJgUJJpMYw r30HwGcAMhKFkP0tzbnoTRCl8iobrmNBiwVUBkmvOK84Cr1r0kJ3AVLysW1iEYKzjg14HwlunRAd AdAQBAJjqo/TQaPmKdT9PbLaYsdou8qo9vDuP7Xr4jMVRYo8VCDnoluREyjLusdKkqtVFka6F0zz QaNb3dL4hnx+mWnmpXv0MZ/j+iM5BqLNsdk97142V1ZB2/T/qrmkEAnaVJoom1Dq88buqntNawo3 6WzgbFlVpKsPolD23DS8sWUxayEoYmhf33egMgviDIBW6IEavjlxKYPtxSsY5W1XsRsM8NHcQ2F/ OFdOqioYifPZrdeYvmHv9zq6taEj2oHEQpjcPZSZJdvgayI03MGDUQha9Tl4QdQNm2ogmbG7K0SS mdXD9+n3Grw/i67StkVm+FtmEreAw+/grsAhdJPc4MIYoJQeBhAVY6fUg+IkaGeGMZEn5uD8mgnR unSabHVPOGxnc4ND7rpaouAlny9fhcy5pXydL3UfquX5+u3WN34NCSKyALXL9e42kcVEpwkDrXeP rjbSGlyN5hI4H34bIfqdzOXhKMXj/Z7YrfJCdG/AqOgUL1NWTAn0MpEh5GZFACOfQMCvuebc5fpG SiKRtxuSdsPkxv0Wt7faOI92r1GvJI/mp+ca2odYb/7szkSI+7Vv+8YxbUpkIxs2qNzkZbXCRE6f JPqNjx79aKaJFVq+ifFkOrad613PxvHySgElTv1rcjTDIBX1RqJN4Xdjur0h01ZuXI0A6ep8hSqp S9C++ejRPAS0OQ8rKr627soVjt9T+4licMV35TW1NezTbmzlIv8ReX1i+NneAmodEzZLY31VCVeU cvq/GkUcq9nBCa++yB6Kn+YQq+V8THg9kQmlOLbCksOnaIE/rkTuV31y3ZEk5Ox6dY857fVQT18P QPUertHglZc2xyiEPpt5oLyZZefZffnnJOirvTxsuQpdxPdfiZjunaKjC7hHmPk35j7LnNIy39WQ 4VMAMlAkIg2MVDNY0QUr+NgS34mGkOpG5iJHc963VQbfSIhqytgZ0vo+nVdnm6Yp4evgfN+PvTN2 lueIauxbZWIeSo0MGqxzZHV8CUQfgpKKTX6OsbvESJ5s8dcwI97jeF5cFcFBWu+MSSWHraMBanfO Vgc9AeyD7ghsmsuG/nYWGoS3pdyI/WnAk8eQppPqBZ1zPJgXSy2cPoKbVXKgaJeTZCBDYHa3iy0q Cb+Y5s3s5Vu3DWrbf0pGzP2a43ezuTQxSsUCnia56STIlxSFeP6twrmlQLuOjzUaH1svg1/oB63k KMTOlz6dPZCU3h3inG7CsT7BpE0q4vlC3s9gWK8pI1nafqB6OSUs2HeODQzUIJVqsaxM9fq1jAVq 7TffThVgQv8lv4r6IAr5svIpfkJrvKMPVsU+9ZYshH8WVdvfppdALZvDYVq/V+GKGBx3yGjWI5sa pb68WKvxKMt8NP1qVCIwxkT0rh8uUHvj9c8z3SFeDXgJIIvKNeaY3WeGci6wERA/o/XUK3Ck9lU2 Zfmxt/2t7Kec9m4trOznN2QrPtxN+/2g4bY1v8CS8NAekBLjmax81BEQfUwFLx/JtTuJBXWIVRNF 5eJusgrUw3JoV+INO0GKi0BwC9NoQuWegci0C6JDsgzDeptmO2casXlXJDUcesz/0vMZhzrtzhM4 YyDPAfJyFfaE2jpAL+nP7sBdwE7PP/4P9ltu3TYMltBLhjrGIrxXoqLvs42QYG4iMhqSMH38hNmN fN9M9YmQl02dlX0oTcXxbfujr8VkXwwTNc73Kds4FhJHaR1xQ16+Be0K001j6TQvFYi++27Srsjq kLhq9TahGQPS4DrLNK0zj6WB/cl/APCoGkaT9BzOsMtLtO6RS9VjLHyP3MIEel0fakE6blZFBLO7 HfdDDE5Mt3CHuNJsV0L/Ngcl8Pg4ZTKXnTFjGITB6dSujy+Cirfrydekns1/42qsRStvfL1zd+0f HTA1FrDVJ3Syi9e9x03+3+9AoJDa93SYZNBYqjlixCEqUYGg8q80K5nA7/ZUplJouLukUhLODavK DCb5qXr0VtQfSBS5Q27VdvHbxSO8pYROAB/KiBxsopcwWad4A2XN/v+LZEdB8ECv4PzhL2uuJKwe sCWwy2rbnL9JGQYLKbyCz7yTuDqJ+RRgwrllWgBbd+nP3FNtwWeLkqN4rsFtDJE2Rz4nIVh+ceBt var2h2VU3k641WZSqnq1F+6ODE2gqXJKonZjL8Tgsuf0W0PkOJSQ8/Gw5my6y0po+7IPPg+wvnz9 4hbThZoY/McBoI2mNT6gKCSBbAFPaeHvtExVkb7aHG/l+CNhYjYIfLwWKeEhhtPd0U2EVFoNSR/F 6quUSPvnTgG8dnvGAP9wZHHnlSU6CTj0CQh7yqRM7c93EPBOLayE1fpDkGNTJHO+of7ivfRdA7E7 pBOKaexIQNfOmcg4q3CcaHfW6nkH/j8u+IUsbwoEJiGnh4iZgJmyf+JDiPL1rwhZ4KfV+3NiCpeK Jjg0lnEf9EXAp8D6/eKZ9KUE+QwzriV8LY0C777FF6E0szXgHi2Bm/exhze0YWEexoLscwALtVJi h+cUImYFYCIqFZwo2n4/iq4ap54KecJTo/YOjHrBlBeQ+wDoqToeSbXjAG+UM8p2KhQRlHynj6H1 2be7iRF2wIxZZuiMTy1IOCDE55NEg0PkcCM4k1voHBQV3cTjSnnjmanT4+zKDQ0Qftwp1hkLQkKP c7Mlk4Vk/DzWiJayf1lBxFpiSKw0i6pbVPRVrN1M2zrbi4kglFubM94lbg25rljTNrN/uqca/+KI VGE6czjfliQRiHHfxdS3K7yaujK5o+ePS5HfiASmIz2lLJoYo4HZw/0Q4ZfSb+dZQAGO2lozR3yD gq7nQ4BFKvE5e/+vljhdGBnrAHmyMayahK1yvDUyIwFJHszqc+y+FeIezDfUw1CYce+DMVZaaIiy MW2IEO46QheHppmEry21Ypzci6vtkV5Eoymhi2KEFFng50NqCMXKYk9We9riT2LAIposwIef4D9w zqsEoPgckHsPd+SG9vuqoLH3z9FOxmSlRmAdGDUlezNauTcCEtDGshMRCgWj/pOffOkJWcKQonoT GL/syVADI0SaOlOc1xzy8oNs6jVQUns7ETj9bw3t66Jc93s4NupiB3fLBjq2frMonFVGragvaEXt VAcbl2cgDL9gV40qH7AAh2kS//QL+9JRO9n8Ix+5tAeFN+7bkipWgph4dT88kICOX2G+3zH2ims1 V8gIXXr89wq31IA9GR5K0SWEOqwP3w+aWlNK2k0WF5r58RouRrZlhHROY/dTyLg7ZsAAPPz+2dzM fuq5q3QWEJ0j3t1ROcnbHMU4kx6osT8JaCccjuZZf7MkEO+A8+QQ3HMWQtFqZ1zWLFHwu+DLLm4C 9ZaoNQGII0IZy7PJVJYBSmM5vZDn6qJWvI3/rk6gaS1i/TsAxaU/y3HYwHcO0k+VnbYIeNrPn5jB 7mHlz4CroEtGuz/nEtpP7dkdWM//qh4Wo+51t6eHbCVLI5DhjUINglH5VfBZ9lU/AmNuUcY6VVDB CwcUdrkuK/zX1bWjjEG0s9mEqPEbw2PymQHT//m3aMm/KUqgoolyVOkrKI2gI+GrTl+F3/bdPpWZ EblF33QmySKGzg2sdKjRBSBy2sKt7e5B9v/QElj6kAeN+jRj6in/p5P3Jwhtl2TMw3oIKnpvv1mi 1t2J2skw7AMOg3zEUOL+s1KMY6Yvuzq4pjVhI8bVTKPGrHkDZgrzmtBM/Yvd2x8Bl0M93w1YQBp/ O6Dj3spofJPc+JHsWmUzXx0HsYotnc6ItbBG1Bo/OuyEM4pBE0SH9kXqnY9ud5kz/Mq1f8hha6VC 5DVnHVVQbHTme+XlgJdVH/xd0+4x+5sm77ccZoLw5J0Dlotzl8vkllXkzWtaYsji5rPMjWhJtIT8 ADKjssYFY/JqdWZr2gHk1bknCU+dFebI3YLktGlEl/6dsQhzEhaX4qPHOEoi24pK2xO3dMJtA9Ro nVhb7B0m8iYF0WiobYx8lz1utKCfneeYnbgvZWUk17XoZJ3f4RcGnkvxLLaSd2IiuW18nYPCviMJ VF0cT5Ed2EBiAaVUer/LFghU5m2+917DJ3qSiHaXAVp57TBxYlJev0UAM8ZRbGxR4mjIgDxcgYLj IsmB5D3LqivQByubLl32ZUiLHKBzJlcpGLGFflESfDL38jtDbm4Zb5Az/oFFeETIS+v6uQP6uO0o IIE5vvIeEKaN2ujNbFtLQWtVG7UD743BI1nD00MBWzrZ8MmOLAoEJQKtyBNcmU7SquXiWwEv83lU gwkPCWG4GHhBhLjqPfEVcFaex9f2y7WSlenDneWF4E7ouhoeHDHHfCJk7+DyhqUTX2fqJSO27lAg k0woZKWxuBmi1/I3Uc3PTV7PkxyGPbrDCkFYcfqZsBz3kZRgK3OPAvcU7IPoHyloEm560RApzEAN N3ukl/hxuHv1EGimT8ROVcnI2wV5CEN5ijiYuGC+hyCSHpxfwpQGfYktwAlq1W7IHDZNUlTFCPu1 OLKZqyqnzYRtLprrAwYDcAgLVp/yx/GAdwt92i00+aHCBdbU48/ewx99tiJQQ/EONBHqADPFUEY1 x7JOwtMWS1r1Bj5J8d0KCExu/G7njJd6+53xtCeqqwAyMTPFaEBMv9HfkKflGwz3qRPPhF4s+at3 10XIV25w5uqjSsliqISdhV4dvWyYC0dIWULl0KIAYEtB1dR3bxYEEq2zvOGshtzDp61pdYuO/Oqb SEHMDgxuY8ZHtgFN2PNUPvt3V7ci8tG2QOajutGNeSqSjvgJayIUKjYPdmek1PxMbJ7pAv0x5eGd GDq9IRtWFtdEvcv94RyizIstYMy7mrZ/J2gexuWpVNXpv8HBArucE9HyESo4tOaxE2n0H029ssUh zRodyCv7jyjTakyD2MTi8VGTEfkxrd08jc7jg1aOI1lcky/v7PvQvH9H0Rx5eRnx2kg1+9yLRifp UR0kuWzUjnQgB62pep+ztOwBq4Tu8muqxEEf8ppbUOulIftVzhQaLZXS4maZRYCH5yt9drjv9GyU 58nNnJ7lsXxbivc46pS8D3DDYu8BsEXPAFRbj8ED1svvQmauIKjg6oL0GjWZVu4Agp1iCTZ59sQi vnu0ZSvXjufq96LkklIdjzGPzntvEYXKPRjhvd22P8sKQYjX3bOQCoMpsB30fHHsGe2vJNJJQYgf nEljEEBn2A3uYcnSFKTKVDVRPjOypMz9V3LUxsQsx5BDBI1exHdRCrw7bGvOnWEDblLb/fLrgTar p0aaRTcObTw4a59KIsMJno5ETSG3ioMEppDu5IX21ZxkS0/j/7G+bU455m3F5LwlyqWYu6fMOyy8 gN5kzsVbZj9g3kPXLdAzLlOvdpBTbQEInzPkc0aS4/A/iK3W9eKCJyXO3k2nb/JbsBRyFFIY9sqo Ze/9v9utbjuWnFDP+Jqp8B/+dJME33kVbbf4aYi5fxPwj1KlyHq5pQnYFTeVTkBlHL1JBqA3XKVQ taYDpuzduxaE85XfNCgKUV0SvmL6KCgDjw7BOd7mSlUvBHXtrxCedSoeAKUobIMvI26YGFQ3uD4g HrmRvR5L3I7k3T18amt8otjT/t8gp55N7+AeG1Yck/77N4cDzs9c7dFqaquT8PsoaHVwIhNpU7sp D+VmyVCfUo7Z1qw8NUAMruiB9vZqAAhY0zvW1yp8pjbRK2YcbpZ2OsEfTEG2NU+rDSXP5VZAAaFZ nM7QrWijUi8M5xGJCuiwm3I4WfiIsjO6OcifZZ0SnroahHTZ1utVWbD9diNHHlTE9f6yUaofV4m7 QTxfClVM2BZ9k808/H091t2WgnoHqKd5GCwiu0/f9IzQG6QZpbCiX3YHVl8aqVbqgJadkiV0GFaK HVEA5n8EVLcMH2U7X1W/qwIwuwxrEp3K56oanaGhCHMTLvZELhpahIQ9w8mC7dNxzNrsb1lVq0Q4 lWa3TKRq/bH57i8ZYYdGQ5Z2fw/jS73tGuMRpdaaCZTC00FiyK7DHBiLdNjVF1pH1T6dBT6cI+0T N1fgYNbtTY+S8UKeFTnl+X5teXFX0Vq9SlPoLaGzIMERIpbOA3B+wfdhWxidcIhQJGTERwSmajG+ vY1xVAuEZ+LlAHs5QyR2e2ZizYA5HF/t6GJCTswnuf7M3Z7ZJooJF/SBQbdDWDJk0cAF2TCP4v9e rISeHQGQrxVYh5zX/CbMuGBPkzTcX3E3DDKQhvpeAYpz7jxEziH4OrusmA5wNFZ+FLPXhGVORRVM gNkCfMrRMLdrStRTFd2ZUkYr5bHb3+rP0FFAQ1QSZV6ZX1ieSvVJw9W9cKmV1yeVTvW7XkBzHfzH Zs9GifWqTKwDtE76TETm1aEvXwCUkRkzKH8tszhzX25HBnL9ohU6Vy+0ZNsE8uHKnLAow8KLdzEB 7u094u7BIC5HpAEUdw4Si0rljN9QVFekZ8wb7MNBODGRNplPJkMMFW0a59o3FjePiHI+vAluTKKu 2feGdVAoExaC3qPe+afxG7yuen5YMjHjCWvUFeMPllSJlHmPZb0VHyB3PHFJerHhTnvSqmvJC8sO MSZMd6GuN8P5AtS9qfdyKovD9+7k8FkF/TE8Ee9MWChSkZZ6AvaVT++Ec9GbVsrcRsjSK9UyZOdM fJghRdIlYcxwOzRJUR/QbE+j25vxsRMt0U5wxf2Ta5MRGAutf2F23s0h6d1WOSdX5R+bbRz9YpEF FGjNNzFYa2ywkLdPyCAs2YmZi+p2DKIe/GxMH/doPutSN4N+u9gGK1QXGKxdS38311S5qD/hl2y9 DGke+YxqinzuLnY+DECakm8JoNWKUlcQM0+LUhqTo8su3fuDaCGUYd4rgQplcdxRK7qCsINfimdO B4GTDP3vtgAvODOBj3Lr5ZfMAzaNI39m6EqKsfgpKJ9w7QNVsshPdVyu/53mvvY6Znfy6yjPEI3+ 6wqKUd1u6/4FThEzSlOvtd6PIwNIGwDUmqr5F5dvOp1uxeOTJHDwg5UAeT4LO7GcX+uGUhVFvEHE Wery7gqYOYifqhLM0u51ynqOtIdoFFttoYAwNBN2KAh4W5ivp2bJOpSXg1qaj+D2nPNeihKLf4xW VbtMLPEyopmCkhcalUcz7b0O4MheoCEgk/XRa5Mfdb4oIZvrWbJGRBthd609cuJ2PKxwA0HgOVam yAhEGj/ehrEjYlgm72jFRI7K241kyh/AA4Jgv6qgbjIiTqrtX6Rl+PjHnX3WnyD6i7ECmEUGgsUj GYzu86M01VA9ftb11yu4ngsQRJuojWEG0xmaO/xbVmR6rCSrUnehmQlg+EA5sRQTo54ZlaTyP9rN KLDJ4BYZ+883eYWjjqsS4/FiUh5hOJBQGTSZj5bYOfypRWi3KdafGU0nKwN1gJZigz4B17y2Nh8z 0uFvQwoc36X5MwnMCTnXL7YZalH9VCWwV3ENIoY3T1XikmTPlouKRhLvsl+9hoQBvQULpYzH1MMn J9UyczEPTjJPrMs0cMuGZkWHTZMGzZ3KWnQ0+9Q4O5LVp9vorxXiDeQf+W5L3c3ruJbSruXibaKr R7WItdFZJ4uqxWHAtf5+tyt9mvKJvTIQ0eT8mJb/BOWC1KHiX6AlSG6Mkn9U8Wm0rD4mnEyJXa2t BwXJ8D0FTJjOM+5oe/WnCYS/w7I7nSi12FUQEVWLbWb2qsJcyM2IavTXUsvsXz3yJgDVxSFJN7Di RCgObi44ofLCJlKwJBCivyqhkoqE1Rl53JbIJR8hBzIUfLzI8RtRivL6tau3TI5eABF5OX4CoEB+ 27LLrflME/rndz6aJw1JREWVXfrnfg38sUF67EkB7Fp2J+WV0jAYC+W1n1VnKhnj+6Lpmj+dQiSh Ifowh2pwyeTD50y8DTkXh0luVhpXlmTCtKTF5DXdtAqHb+OetQC3pU0u/gFt4xbvSxSk3W+nL5vL N/fvFhJkNNSzKD5VpE5Ff9dTY5376Eg/nU2hNZ+r4Tr/JS5VgqzSAlnU+Ulu7dYzHdabR4UYdqL7 mJwSYaPKQieAiloqRf3+EoL8SdC03VUdFDCSBU4kYWkyF20uCjwdeDlPgikmNAEFoXucYCpiG4bx t0t/3bov1VNpqM0qfP5DtiP0dqJXNbGX+7GIbS+GElCa9fS+fHdgs2u1mZS6UhRSSTNUkG9AfKgg SdQu3zoefZgvDBqZQAnVuV4NTnN251HmGpWQHMbMLtPukklBmzndWm5rO4BCTJh7cJhg8wEsPtPw l9Kl1hSFT03X2Tk+yttEDxg9KrwNmYkK61r+iOivwMF1qzDuLqS3zv6xD10EnbuFwb5rliiadpPM /cLwZxDDWGcE9ETYdFsCwaG+M+nlX2CmYQrcmZTzysSnmdWKbHt/lDRHh724pAnephNH0C8iDjQ8 3ftH5O1ykJ/HJFXUockWwde+ORtHLgy8T68rv+Ysr1Rj0jLZwjq16i6o6yWtMS7BtNl4Ct8DWfOh hLI6kzdJt3S+m91VMK9taOsvacJ7rYu242gojpNUyOUKfZnkDJtd7hAvEAbaJGsvmMSclSB4cnUx GPp1PVAOjgGzn7Cf9Prfj5FaN74uoofEE6LIW25p/yAi1bgisG7BQRzwYRHYXVFY4jx8WKTBUhPc I7kouN9EdDrHAOqMBILtWNmxPeW4e5g43f0RX8KpHdg4D8Q5RSdN1OFBKUfjm33FtVykgzzeoEUv TRrdj8B5RXnbC2Fu9KyA8SMXQhwEUQEBorDqSHEUkiHQX4h2r09CI/z4WiPoc5/USN4lMOrsXgHT hvU+ybwVyqMoZyf5GdZIukQgYf2gynNMtPpVUa4ijear5a40MchmByzeFziY5M+Zz+uSHhWkmL60 FcJX50wDkY9B+08QPB44LAJ74A4YQfaDSce8h/6IJB5xLWaKB2upGuUCaKDTVbxhxRPBTDNBBswL iGBxi4JLO5oREtWnmGDO0DfU6HHIQhX4i1o/PFhM9HeyaMIEQ+TU7CVz5FrKSEcQsCDJzpCS13iT mf2oasLlP/UWgz9mZOQvWQvWYISo0KrkrcMufZOQi3+lj78dYYqHwYDax1N8zaG/6KTAZMjaaq1U AuG3FBSqOo2D/503bqfnouqn17Mcyj/u3jMR2hdEBNAaIOycHq4Lvgl1Vo8LEqlbgcrqvDe6OkPb BLmwHQpSOYEAln7LeP+2NO/gXGsrgk/8maVX8UcyMzkq53zSwdI5aPtfHIcK+fFuLIw2uXu9nJVm iO0IB2aM3FnVju+y4bWXIFAdchpqW5menLe2U3GbZg2QuIVa9DilunBaN9QR1ADFTv2tQYROCDwg Bc+6YVbjztV1krR+hdj+7vpBk8SnyqoBoLXWDIssDZ9Q6DJCX82fL7ViUOK06oaG0LqLWoYcYoO8 ZRko+RiKXbbZY+1C+upTCO9ga929Eorfi3oooO6KGb7Bs53koD+sUjN4FjrINkdeJ/R/gr+c2rr0 OAEi4bIZx8CCUtmIZ2iVaUeAiCg84h0V0N4gYwZT7UeEtZ4mtserjwSgyC6RYuRLYstukKFbth0s vAmVz2gBwMjJoRdi2xDO8oNgeEWU3R7Mlx7mE7UHYc+rHj7IjmhDhjKgfsDH66BYwLixbJ4nTZa1 5gD+jiZZIfczrQyfVIdZaY3iKtJg3X82+3EM4WXrmkumlNrpRhEyUtlH4rIiH7kjyc1tnNSOvZYy 4oBRUqQ7dg5blCKyu1SMAg5jpcoCeOYfQsrNFXt+TI3XqA7CzVA/fs8kNBSVx/oWe8MLOyRy5S/F a4pqX0gZKCNCGmJS/zWH7D0xpTlKlXhMA4NwbW7amZokweBodylQnE/Vrrz/tz2LPeOsHK+KfAVt PNZZXTuzmreZwZNPNeenE0vG6vSmbdfgbwohkVN3jPQocsq091h7mNnvqcDzDQHdtFl5VKqvnoNI x9itTpwRYYrY5YavGjYVfwdYtzbA0cEfeY0WhyN8qI5KNJv+BQE9+udDF/HJVHbRO6dU5UuDh9Q6 iYsiPYEWncAP/ltisjiFfqhHs2vp9oi9gGSFqbOjwap8VtgsBZvWpm8l+uCwvtjMLXquHv0kxYuB ixUP2hQP8zRFioiPqp8i4mfoFQeJLpgNS0WdrPQA6sJQMdFKyvBb/iTVx71Oo6SvDq8dB1F9Fync +hAIFnK+eMeafyERaRYiaHLko86S2LADvUf9mSMdI/N4+De6/pOmaTjsfJC0YJ86uOSmT+LcWbjA 2DecwO4lUpIDwmhy1jhQ8kWUpgNUFK6XRhbef/OY0r4/VZY6F7LEsFX+ZYsmWEEJf9L8b7xXcEYi KEezQstuj2Du9Nr5FXwmBT8p7BN0BYVHbeBkwUEV9a9dMm2zxwUseuKvstNMkY9F3aJieT3d1Rew a1dG2kIRSx/w3RavDRUXt/gep3keQ+ugfe7YsTRGozt6Bu1ySuscwmNYppcWOD1Fkdna4PiAep9U 2Ott7snW7BOaUHHkbYrPb7ROqkzZMRSLpcJkNcY9bb/yLYL8KJKONcD3wa4nBJ035ZMdx2dHlCxq GuFZ1KCzwcHDo0l8QAyf+wG6HEYFCAqEHWiqwPyF63lbMwFy0OHCgXbBWs9RZiAS6dI01G6UoRj7 gaLwk3LrAfdREnrTxqp97kfRTHYDUkfU03j5LtljVtaGoAsV6YgPEqelIw7QrVZ2IF49rw0ERuKQ 5N/j7wXxN+3mMEuCAn4lg5n0/EHBqZrHP7VY7XYt8jaqnAcBmIQTOJ6BUq18akx72dCK+lxBGBPW ZskQ8gQzT0ZS2irLmrWuy7uM/b6Va1nw5ZU/g8yTzs39+Zd974rMcpj985JcHapcwudl/jmrWAQt N20O6jD/54fVInMQxouu0YH/sWV1T2rCUAWPMaiVRlrm4kqcvKxHWW5NFXEpEJm2bbWCfkn8Rmji hFXBwaqLJ0mfgYyobCuay4x6ZdabUBC0wss9fwlgdB56hMEPiclPr9cMLnD0C83EvFxV4jCIFRTX LNxFOj9fPmcsPsIyLiqaBB2wqBM95+k0Nya9Kq6AnnEOv/xsemMD2I576i2FUc8jTO4QmFJ4VeOE 0C5H81UMssdAztQCX9H4lsqg8kgsAjElsBm3eQq9/OrkYVK9BZ3FKdA05ELjveNbJr2Af5iB/taC 20Opi7q7DOiSSVt7SH3eZ5dETFH+7RHcF+eTQ5V9VqNsKKPTc9L/QRqWG3SP9GP3kDbY8dBNwzSV 5wVVEpawNpM21lOHUz8VPVyNNJuFCcohRrWMCvL2RmRenT4xVYupXjIq8w/GByI1BWQARty31exn SEeJkpW7bqNFf3TnGo6kDdol/16EW9+Zy436Q7KRtYvBTAlo4YX7ILYyIA16CXBcnQQVXssVVYCf 9Bq2qKMRJAww772wl14nRhfUzNRZwHg7e+hzI+3U+iUGPdoUPJuj4QccfITPpoQwNeIPwaIpVMNn u2d/I4aYaKtojSj6gvBK5oz5/D8KJGvxa6HSWaTkgT/GOBUgiJ9N2smTRRcJFwcMH4xc7eTSHf6k ldx83ih7Q3jjyUFPoFixKO0kaOo4/JbYVteQx2ZftpNQp1gGZOuwmSyMqquq96Ltkv/3No2tdPuc KKqcntFGVsGVvXlPjcrWvjcKozSeQJgzi4NTCDhwC59YmNfAMf/iMdCz5nZ+EnQlCVfWtFKW7oWr dAqoMZNIDX1EPKfSRv/7kNou8fX93UuyS6EL3RQd3btwsQpT1MBbNPwLMtuIsof1Jg5GFbiCX6Tm CnvjFC5Jb1Fuvsz12b1SM+13y46UqrRLvUw7eBTMOxGYt5eny+uB1KoykW5NNVQpRbqF+GCpmxgr hiK1fSLbY+s8f21E05cfkBs7PpawGZXbAUbntcMP6ubgvO+0YsBiXX9NC7Vi5JVkjI6WU3Ai8io0 c5Vg/xGFzGw0rx03UHPQ+4vU5JMWACsd6i27PHJ/SeXbeqv56SNdiEBKcy45y787fjY3iDzHy0qb RJU8WbHw2OKs97XuGsB5MPeXIp9bk0yYdkjEhxSrgixfpCuQSX61ygQgFHdQN8rep+3gKCP813SP vSZPIisJWcnXG4Til4GbuzrEgDTiRxbVouFU+gEY5knz3B1WRdP8tQZ8BGpPaAUmRwd+NHnqJ8eU knHKNzIIfUED+Gd0p8z4ZINfH76ZZbrtu59ypg867JKdl/K9X9skkeF7R4uRxbQAULKm4E5vhtHG XOjQYTNHqN0c2zOgbsCaft8tnTHNxAlsmr6Djb5iWcreE1M/flV4uRj4XCa6pk1nD+Ohvxny4Zuc eUVJDBk/+bjuMK84CvTtcVJYK69JKoizfS5/Ss36f85aA0tRUY/pnoBn4SyVXrlcbAPhMy6GrZLz 0ZcE9nq5z89SL2e2u9maDq+ds4uqEryUUVAsVf9j4LQ71D49t4Fp1K/ClUEvo+TTDp5tC0XiScV1 2EpcUsb2ScmkVMa1ZHlJy5JOIEv+IpntrvzNBmV+A0JvXfaMPrNdP/xYXrwk3RCDrfljCMDa6ybg ZrwVaRfqUef/NJgQbF9C+dCQShf/hrOWsT0RzfuUtUu1+2kcDl37JGAcCIcmYo7guUk73+u1FsP9 Z2QcT1YVjXC3xmUTEoPKJsNxZhp8Rjss3H647FEfaj0ZyRUZaljnQF0RdK+Ntynw/hdnqoPIkDiM ULUC/RYsHVBB3UnSEp3oQCMn4Fg349wcD5FSTnASFTtG56RiE9b9r2cp/csw+25tSAohsfwCzZ6X yNitaK1heQnwza3bX2DTbcu+ruXA3ohaf70Naa7+lR/ki0DTpxTkAwZIp5T7LX+QVrxCsnswx/jD +aZwkOfDKxfxr3qyMTHZGvaxZF1clQfoZe85m75VWEQO36krEgXxnayw6HQ4q2MRCNgs2q08GYgU gtlDhq7RKX7bkUyeUIS8iVvvltge44keWLFnbMLrjOMPlp1uMbOraFjkbw32KMAwwGNzMhL71nI3 svNf4oAOmEKj/23pqFMuddB+n5b3yWvUPHtt52Q9DZdiZjrbhx0W1NGKJ/TRII0QgVanyA6iNvxM eRvngtMW0zcMD7X8w2A/XRrAImrEWO9g1T68Yx/7xIRfeMUrleb8U8euD7kZ0O4L86/qPLhDVaWA tVJ6zPSR4PaqYuz2VsDHp+Z5f9rAbGcMOYenySMGC/4ggThsMGb20MF9H4XVbz3Zn+NZGZgEf43s hFJYgsRhbRUhlHv/iRG4gAS+KnSjVVQ+GLH/3U8I3c5kqknCeJWT6Vo98NlUAqdqwBW8+J2yChEE OVnEl1mnry8cCPPEMVTSwoydgRZB5AdgAU1iiuFMqdPEA0xG+aK2/g4/NMu+sfa/k+wJ2Oz8OUmr UrPsO+YFN+RSSUSa4r+CNFD3gqU6ad3GQGGm3PTzyN4Xw3dG3IQtwKhBPyHzOwJ4kP4RmPABLQCf mctBg+6L3b8XaQIniJMDvIW2P8V+Og2dRWk27ArBW7kppfBZFKb+//maOHugGfdpobZcDtCKm86B PaNuCT0Dfb/vAl+prwKp6M/KnuyqPW+cRqiNDX7PLUVqnyTTsIYYvvvNmuWWj5RvgYi2csgcKcqs Jjq2uemdnPcwG4qmGa9XC6iOzVO34k9nebCniKm86okZQbYZfEm5S4Oi7cj303zfJ54FA6bZyY9z SLCZrYombuXJ0V5JoBBktpsqxEvLgp8b94kaguwnGtKfBOfxX8GNfIGW6zs0092rfXInoGQBKpwV ptnFle8SslXA3Pftjh0McoJzzS215jDNaBibAq7FO/C//5zbPFTpGAUCpzjuykF7aBVWiFhIukrO R/evyrR6Hra037dBkhI3Dsur3817PXkKbPGbSvPaRNS4HMDE196MvfUsW5mpl8PmunzIdQA12e/J IIOYCunTMpQgto+FKtcYQQFgtNptK8SiMYziX11lcnA/sCPXxATavz3ZUmYYGiSFL0LO4bM4bVIc 4uUfilmBVLzawu3MPSC7glL8DUDHpjIUSw4ltPZcJM7XI0i4o4FIBzq0ucUh1iUkfh48HNaYSXFO 9Y0pZDSXus8oYQdaY7jT2vriBTcmsk7ziTOxgiwDpVM8oUCmcPyj+EJaN1yV/+ARlmBV/0wBdW4l 1Saq8z3bN6PH4n9oEOq/evRGg61kSLqphgC0+9jBPSMTJqqxSZTMeECrRz9lHnL+bXxi5XvUAppj ApVgMKaNhvn4Ox4fEIXLZvipO4GmdekWRe6pyJVNbAFRIsZvNIGWn9vhrEj6isuPvkMfsXIOXHl3 RVWgiphzTqSbaVPVqWsQ57a2yiyrHpczeBTqKFSO3eVOaJKGO6nlNmfxEcRTjEvEHuAZPMwG5xyb /O4t8vb6u4vbePbKdjbEwc/HBymfolTj2+IEJZ3ZiKdCMzqgxlmw9kf4FOYPbAFy+3/aTtOO0LSx 3NHBn7ML9N9ZBnoBriVc7N8i6XRsjXg93JIiE8bwQwdolwB9Y8H+o638iASEfJRl8LIuZodQ+Xur S9BptBu5FkurFh61ph9lXJqb8EjHVpeOYfqLluAnS087v4JiNK5AkNLscLJZ71+79H5r0Zu6+Tux SQ//ian7WTrJDSUUfHpF5FlaDECZpuWppqfG/BnZ6Z58m64C/zbpoJLYq9OErZRrQ+jKZLirEkE/ 7qy0HFtHQxF4q+H9s2cGia4+PWMTMmCp3oZiLqSlcZyFrkoXbKo0eid2gLZLc3seO4VUtUaWgBR/ F02yxdRki5bs/5re7I83Xipi+zKY0gclJudrjLCkIN+oZLcQW9XP0o+Z+flHZdKU3iRp//ALp9rr jTB0otqguVxBli6zbwtaSUBR5YSUp2s6OmNTAEHseO7lWlbigsVLiAvEC6yAj0M1shO1XxWLEQV4 RBQtz8ZkF6eR/d9gjZrFczTfvACs8QnHOe6//rXK9ND5JaFIS29E5tLhMqqv9ySRWY8IWeBZGqKp wHSHbs+NThQpYrjjrKkSXjQBYt7d6GHQHdMnehQK7St4jvz3Oz8yB9JfHtEkrOhCFLZuztQzVGI/ jvZ7ZL1LubLcSXPeLixrtA9KqIcdwSKYcB4B2iyqVwof3RwJj60/sbJqIeBugUeSCACqtbak8fIh sMxjuOTJMAR2BYfgtXX4ywGHLUdICxYtl7C0e1+ymVy8r52SaZtmQYsj2aaJaMzDIsLg7+cpoE7+ im5qykc0T2TFqrUWgUmH2k6iN4zlTzbDlS1LTpajSMfht2TTyTSWLvfIbwiGYOSefLBlw03d8rtU NL4scnt4lSOVPhBeMMlTGMyHEqhc7daTqGwvknj/q27txA/s2fTfZWzIW6plmk7OMCHFVArEbzT6 T3Yhrd075Atd2OUodlACKkptVHi6cR6GvpT5gn15+hO9DwQUhuR64DNx+XgVRV6mzJpNGsRftyPt P1ROs4mSYkXemHQD08QdXCT+hannAFM8qKEs9xj9u7Wd5T4Ud6m6meAaO2VA3nFC2NEU74b01sl5 kP4bsGEXoqYvlvZQYFr9EaHJikwS1bAMswkBJlXa3G1RDxwD7CyOAW7uz549JJo7Fs3G4Qpt1S5r yo65hByAvdiA+jZZ305Vd+LoLcCP0hIEqwtiLY79OP/9UJI1UHzosqz7WFuHzkspSMjJFCkI6a52 AcUOSnMJvj2pkeaEH6+LVTEX2jmwKt5iHZ2RZ/Na6/nZnipTFnC9RBm31rtXFqbWJGEP5zf3CzMP b8DvnrqOh9UdEdkpLfgJYkOv883j32ewgbx1i45joWTVzw0FFFnCTDVxjnVJ7sn0FdhW/Ns1tm4C qrb/nhaHxA4fGlB7VOn5PE/HtZ+GM1Sct03vfvdrrSJ60jFeNhiTS/5RzaayvySxChf2RM/TJ6Mr u6F7hvW28RhIrU4foZV/FdHcAiYnCJS4ac6BTFLwEew4zcyzy9r8DE0cxrksUlzfc6f4AMgy9grv Dxa4yvWIHf1RDJPxAguDex5KtKCJF3OFVKxlkOhvxwwXxpulNGEoDhqDZnFxXy6YWma3LAHOtme0 rf2P/GOwApLLUhYLKZFWLVL6ycE4de9rGzsq69E4AcVXzai8YHvcwrNREG6kart7dLAN0Rj6BJzI W5unQrbiwhHl4Ur2nrAptsX0hMRyzLBjoe6ZOBPYcW3eaz19pQgyq/Id+b1/HKZirxr+CMbSA4xw iSBvirzQdZA8fe6UGCDF8eiCV5stvEpxvEuEmJWT09dHzeM4+eNxQhKCqWjF35uA4By/YWaD7PaU mTWG+YQYZAw0OsXYOI3rsHeaO/9eTBUy9DhhGBmKPYgCF6NR1+b1Uw5F9/JoJSen8Wf0umM+IH1m Qa7Hqx57BrO7zqBUCADsvqTWe93LYLmVFYh5IMD+we8f7oxgfWyfxiMhIpsS49eU6oGcsnDAWNPo FXW3cRDOXkg06eDAOlC6yw/GW13h7diCg5/yegOxeemFii+uCB5ypJlA488q6ieLdrkkoTMHNV/q 4uzg/6yMcv/rdYUd3OawiT50Vy02pPmbMzYjU+I/qpkLKxkihXfQJVyKpYSvnSGNJi4owZBRQYSU q1sCbTGB5PNPYk4P/VG376CmkqWb2SBfoZeRQ/J95utXtI2UV+z4L9fkkkBLLjYNdKPhJVBOUvBP f7YbyU7PLl+uxQakcLnTyyFS1noM2Vbg36zpdChtR10ee5mP37tEn57FGZgMXFfD7l+TsXXJSXL8 LDtGtYXlK06rZGCwyjW7IOyEhFiZgphhT3pcnPjcllkoMHrX75nTk9//NFx86tqlRoENaAY0UqYT LajsQc+SDGlMBhk3o0mzryNgORPvlFKsVwj4hsGL0D217P3oWfSawHF7kuTkkIvsLd2fzcwoq43U NpTXj9ESR8W9oyMYhu5KsVhmWE1RjWmOKXJux3sh6Q3k4mTz9wfNpFm5mpEIUyfGVIfTO4IYtvBm hgU+LfZTpWoPZhFhmwVPdyCx7Q/gZ2EJSWzPGc3KQ9mFfQBL1CSIJYt8p0WUokS5F45hDoDQdG1o KzRleMX/l557En2D/S0pEvOHwYO8QjxlSWA6GRMtnwr/yCJk+VoKZH3KjbiboJ17TQHJfCBjSSw+ CyZBfW6egODKjjf55QfVBoyGGsdDWFNvm115lCgbBkwZEgD7P+mi1gjC96+3RM2csQagSVaMNCkk RnLTHTQV3ANECvB0uPmEUSiQgQd7MeP89anjKtXBhOKNejRBfqgiZajbOyqt3uYVVJLQdDvHMffc G1rjbQ8AekwcECOHthqx7XIDcCqMkntLMpENfzvEdldKmNymYwwNG8TWTqRTh+t/EaW7b0Q735US uihX0KtBxoSVWoRxJHLuA2q3WcqLyvujJ4lJxxRarmWq6BnrnqAV1BQUUY83HO0CNPY/V2bhYvhx HcqgJPxukEn/nwvpX7FdZz2EfNJp61WggkRkYyUqFqJcosvNSJVrVuvGo/zDhFvvgXvRRvrg7bNE cwnsmieMyVCWVLJlxnHrfTSSkDGJGKui3RlTAbzqP7pHjRyGHW7RvZdpQAfnWGpNslYrUqMJvEsk Ctw/swrOKAhTOki6ffHXjZYpeASOW+Zx2tTD7xSpeqg5R8iDh60Lxqf/ySBQCztqyobo139YsxEH SbYtyM5sGhT3pm65AXBdkO8Y7oJ2ks1/+TesFz9oxgqMK3r841x0krYf1nhjQLxeh+WgRMRGp583 QSpjEuyN1u4G2lxd/glratVz8j0wKm/dH7oQiuCkPS/kEH1HpRvuJF0dfl2Q5uxapbxRvmTSdIiX mBa2nFXkWQ616pvcIFRWKyCpc66G5UgRyc4aa15UhSAsZPD7o6ejvNFJ58TS6f/v1TNqEJa1KPQn qWY9bZGAdkSOZktjvrK58cqLmN+yOh1O327lhpaQ3zd//Vuj5X7Jv+TwlnkZkptI8MuIFtEVbqdb MGf2dk7l5I9JyDrQ+Kj/LQYpCX9xjj+7J6gBnSPcJk7yTLaf5tjiRkdpuw6t9fPc6O/32BblDfqW R5hvRnNSLDIxUfOzxY79aU6bevSmd819MKTKy/oWdHHuiLsLS+OqcqTB41hrushpvW3zGxq/SwY9 VvPGOkZxPq3//1rkfb9ejMXSChUU2T9vYfz/H+sV0S5U5k+S8+KD3PkmsSMlBJf8H4YO6gCwuZ52 51rhW6vvWjumHilM42oAhFh8LKGqZgCzBCHHPiQ/rNbStXKP7K9zGZa9d9OlT3Nn3Y78nc7xRkoJ bCvOdbKudTzhiRVf4eFk5fr+ISroegLeOy7FgwKyPJzeolALnHht5IJLYqUCgYfGsqNVuRLJN9NF eqTwcgGkoH6t34xg9NlZirYyQj0BlB0LB0ANWeHqrABtFW9sCepxaIHz0ImZFAVHmzVslBZwCo0A 2MPR2Ga6qnIZ1ZCWUdPRtvyO/brEQwfKFvq7PgGlJ+Mbwj2gDvuMGz+XY7Iu3pvV2yu4kwjVNd2D OWA5ulDGrsOjZhDqedyR20QiMq2V4OMXhDJUNHUm6bs9vuHHMT6c+iKt+X1Qysgvg35n0PjH33Ye FsJWfL6iTQb1hrBdFpZ28yZgQUvBON0lbADGAURCnSa5MywSDrMWYvo2X8FaVbM5Wh70zRlvQmuK YFq2dHzX7FvnWTo8AQWjyf0id27BAlIkujcmUoz2apbfjZDx8f4i36SLpUGBglXBxoua8pinFG/B HcWxy4R3ChujcIFjRWUY6OzNUm9ATgetz81pkR9QCPfMpIrb1ywue4tRS4yvlY+EadXRWTKZxwJ+ 00EGfQeobKnErvNT9451/zjf7zVFuk73blZAfKIxlogPKvt9rt7dx5+ocacwTvzZjQ+JNTHITJXV iWuztwwYSBKtyVn23S11uPZHT+YwnqcTDW5u07uD0XAqwROqfAX/dDNM4dFvyNlbdr2Kmyd1Btb+ YnJCdPldHQNmZreOU6sg0CsSN7LUP2unhh5Q+mZPACFOfmEXR1z6Jku6JsRR+5zs6eKPxhe3lAvl 8vx0/cPcNkun8+QZAJ38OeVVxgTWV0Wvwas6hE88RuKmABT0MCJFuZ3mDj0H/VoMFMe9pt/hCN7M POnFTnDBjq2t+mew9UdPZ9dGa2bCFXXzFAd/fQNxNOr6msvU1g4bRMXIsO99mtoBQSJRh7bRF35g NkLDyjdKrHKz+PdwzHEoZyMJYPcXAKmEKBh3n5LbDbVn4TlA+Y4jVgUiXP1rNqpth4eAxzomKPXq L6PD0J59ioKT+k6AiClMX3JOIraqxQ8ptsspLhTrc32CanQHuuXG4cSiF2t5kLZowlSpxquBp4ww wHla4GeIAY0KCd5kCMW+RrtZGR0qz0EL3r7S3Xgm/mwQeTXT+WkErvndzXoiALefHFaMLwfUzGGc E+kwLNkLpyQbdmZBeuClz4B+ROY/+XLklI0QAviRWcoS67ujCOu/MbKrYi5GTyrlIfbZEoKBDg7D hgOxZ2AuiWITZSwiTIOUoI0u2AaaWOfvU34Ia5yvagLvfjIy7rhxIt1IKP6dea17FavytBRE5Oht oWEQWj/Cdwbh4WYyBaalpI6RkIk/gck81K7nJXnWubVdJk0T6W2uj5qbRGkRVPbhmgNrfNuk4Y/m TdIH/pKv8Dmh70G+zzj6OFW6lmAHbhRnmgUJk6ZQuPcJGEUiQl+8zXS0RNckH98gx9joTVFiEH2D A2V5Jfczm/B9xNiJ3Y1wRxeaLNm3H3x/uwjDVpNtHBXM+MaVXJ0yAZxMw1ZaBsvi9skJTI2Bx4kE FbSJWRYygPHDUt6MlT7besgqz0I1buRduSTE23PguSlZLn9vqjQ6n0hqA8oQ0DnsWefBUm3Ha+95 66PF6uucji34F5+AZL9fVjoE+chu/8qJIlCFy3aXreA//a5+C/tFnHEQVgyDARTZGp4s4b/iLPA2 jSAmR8FJzyaCcLK7xoy+B8YeAgxycAFidCtZShfDANZTuUeFWsCUiBPzwdaAkMFKWCBP6JADNjsZ YXt/TB09BWlu8wnaMtskvr0aOmIC8jOh86lcpbsTf3L2zGi2xHpQhD5W79AWPaaoElOe4wXHccYi z8B7crPz6l2dJ4jm15gLFkFLS/H5+vkqxkQbrXs9gYiJ6C6SonAAJLSd1eCwnOjZDhAHiVEUXucb LSfMoX0MoxT1MzmadfTlbvfb4g88F9IWHGXrlE/HwJIawTf72Nk2uMEPCJLYl6zLXijfqSXh2iA4 b3/kXodJb/vjbeKqz+aQll6M/RvdaH6iD6P4afTZ4BGlKsYXqGnyCMlM/q440vJLRDofPIA+wt2r aMcljb2gYRsR2q6Bgm0h/yyIiKh77gFqEHwSBbTk+mDWI4buBzarwbujUtbCLZnITK8zttkOnSfP 53yFd0cTVP3+e4C/GCm5JfUGgu8YX3VOLEGoFKpG20Fg6FfOqvjDbSuTVpfq9w9+tYaTKRvjiwbQ vSFdJKze6zgsxM9VK4ntGah9iGPgjv6LuXSxtGcP432GovqHbbXEXKZZQqAKNuBAPa5PCb8OIboC rOs8XYo4xBNQYIaUAIXl3llvfcstgAxhc+x3NQKtEmL+ZFNAgvR04I1IEWB3E9gQ/Kt5tkC3qcZK GAsBi2EdK1uuEz6IpXydHD3HDNRTwWTdqKSlqZK1vD7PsS7tjh8/SLXOE8mNaDEd5fscYgevfISC HOMWht9q4btGZJlc4YcOsxEMa4EGBJMouV/08M+I7A6t/vfRiHgdeq/0oPa7bM7xzo6iqLCimzXu l9X+jHAOCMKifUiHud/3B57T6aKMkIsKRj4uz9xoCg09pmbwH3/2lXXmc2nFL+NZh8dkmN9LOUYp 0qjmfQAnKOlVOHUDJlOVVgTpv52pzxwhrn9AUpx+76Y+v5rEhlI9zQhQcMsg0d8i82X3Qr+kP9Xm /aGEkoj4T4rp2znEEeEUXr74OLCAOvbPH88ThFRPzYWCY2OjPPEWLlsBe6aTWBazt2XbwK0GBXBd jCMFROj1MpMCJhimCNww4eOU47ewBx4V0QOJhVU1tekFxFphayX9PGoWE57bEWEMYNX+S0gquoPi y1h0xii4JLB9iVl1vBaWklL2TVDgrQA39DfAQnni5LtnsG+G2+wffD8o4+5TsWuGQj8NGwq9Ltmq 7ZSkpZpPa40QGPaYs3tWtppIiDMfxNrEE9A9Ash0fwyd9eaHSIntpnnXCmWHuEpN1H67LZyUIR/n 4VtM7SWWqsdpbi4ysxLlpmlKVsCT8BmX/62imEEvpRMFa1T4P5CDWKRw0kn8igMwAiYivBjvymIR R1D4FMl6JE2e1i2QQygJefNP3Msp7V8TtirdB7FcA8TL8Lquy9OSDm6rdZRiSedmF9Co4NXjrR+I X7Zgto653HY3KsVsjFdqkOLtMK15qULyyGteVbTpAzUGjq8Ejju55gSL3KJ9MieGobn0tjdSFk+j Y5pgI8wXN3gvlB3zzoMAU4NbEoFM4OcKAKgjJfbMLWSb0hXB31jO5HT2wRKOZzO6JWNh9tNDNTHX jzucvZBJs3CJHtC64DQ5bihssSCtWqJP7mfFvakRZDv1zOxrA+fZitoDHrbEH6d63tXArdxJMOPk 8bC9k0OOFr90E8cHbAC1zcKIkY+2EI6TH9LsP3c2L0nTKqyeRs/GgZaZ/3YU8qdu/jPL4crkS+kO G849xoPIq1d3jePaH+WYk91+t764WXUxQ18PuqB0MndISUvD0Zu8yPqyvbD60kpSLeYkqFK+3igO MP93VuVFRXSckB5Cph0riR5kwQFHe2U3BdIlDpNk/W2bwwZXIjHNwhf9lb/i4l8EbnVCyzTboFQG a7I1jPeZoUvRogQ+yTrs7oQBQmXWe/g+JO7LivTo/aPAgTdBV+IrJBU/GS/8Wea3nmsSC8TSiVoK n6sX9d6x4qvoWtvgj9ghqB5BtiWsLfRy/NgbfEZrfuRGXMRp7McvSTsnc0JiUxRbvqHIn7FRYXZj PKDKrrtFDBqKZzEWlZXRJ9P0nSnbrmrzzAZqV7z7yZrZlxJWZwk8mTEYzNSYZIvmyZZx3zSoqCgv C27wRQW7yMPpB0KH+k3z8EWr3mN3RDtFvrLFyKrRN3vSloZG9p2uaRcOAoYiZgoMoFSvroNNc5mM cZe9uQKY+iOiITj5HtyFp/JJ1eWnaYqPDFOIHPsEMIuP/K8u+fh+3ypMt93sqPPq2y8yCzIdoCz4 HxeNM+5TsqxegFGFodO/PJxD5igM+VjWd3oDDKNxMR92QoR44ZhnLgne/zACM4htwg2pnJvCexqh Mrmuo1brG6ozaGGzuObLAlmZ+q3Ky7EJOJ4MS4SEkNZtSFlkYAhyBd+Eqe2jJE1U1fjGZGUc6Bq1 6T5EZWJeZQgtW6K+yYL/h0jjGwv1sqZtZCsY7sijuxKpJpeBmpEDezv9Wi/q0lxa2NwVjhdE5hL5 nG4mhbmUJmKu717D1Zc2p8ifZ9nVRk8aotWh/rGYWyiTxY17rL+3PF87sb4Dahqrqcijqsw1j/eb Evw9GMmusilHCb2uU/apEg8EofJUqQ3YCOTJHE4r/YFhd3RPDZZtKzgH2n0iP3fbN4+R1/BBt0cc MDoyQshtW4BB0t5XCSKYIagBcqL05K6R940BrcVpC/JvgjG9H1wGsbAPGOfQQbHoV6QZu1pFI55B P0o8ePGjI0RHJZLSUYxSsI3jDk5ixVn0jk6H/t66qcpTe0CF5AVRGDw7II91Iuc5pp8f8AIckTvh jwepJwBHwEp3ETmimZ9I045ZeTI7nEmJThzlHH9ybiDJRYZZZeXhBe3NudkVvXv2mmtX5XpC0tTn 0KHUHbSl2dY82qkckQKgwKdiKsLW5042Z8aBJ/wVT4FqiJCzPFwIGp+IF2016QHkPp7EfQ2+Ish2 J28vmcrLjlJ4gYO3DhTlv0G7eiTB4fGbqzYH/9NjrX+S5ynGzBr5hykoEScqnO4Z1sN2NpVdFTmX krX6mAj6FjSl3fHUl+6koKj/GkLyXhfAzCbolfWMpBh9n2CdVfAPmgm05Q9sX9038OA8gBKLrkKv S/EqACuwoPBKd+tPJmE8SMXoQMAaJhgcYhZ1e5OEFLdDLYroWwPYKncbZ08K5HxzS3baaBq9Efrl SVS561Qef1s0E5j/5c9eLYHw4H+iP4tbPWt2mrc7FM/vClBEHH1m3qhsdlK4+k0Sq6a5wdlfWIPU KgKVZEjxx7hXFwtILQH6LwDzVuTvq2yzcBHn9j1lGgi8afjR5BU6FpaovYhwjPpI0l5zM0/v1xT8 GcDZzSAriVsy6be06q5/KRdwBLRU2lVYREX/TIsRykl0fipBEJ+D8XMT/e8/i90gZxrFTif2vFFC sFBYboHFZKgJR5J4QBt5Uyt8BQWrLTtfVnwiRrYJC7lCEGJYCG7u1WuphlIB0md+vQxaJ57CqLot cW++w0zKYu90jcjPY3cHxbR73j55ugbny3NDNWPALjevGRmoQyjdc15HUhCnuS+1LVCtCQjQsqbB TlaSOm6OrWoDboRXiE7+I6JQKbz/DcXAu016sYAsAhg/+N8DVmhKBWAG0fvQh0ND1Sm4tspTMsQk DltAA+G1CZuVIC7FkfcUbEfhH6Ocdb0OdGQNnllmdp2YqSunZv9AfNDhac18tYgtQCV1Oow4PIuU P77krbaP5Lh6DbYgW1kFNwYd9FPM/bE/pqN5/WarUQiT2WLUIUfktUfVoxm07nT6MmpRnWh/9D9z 1PFtlj+03CQCB8UZBlNIDFyHBlFAHqWhHclDBazH6njKdc+mYLtzz0DZotYcJWkzNophCJKJ/uDF E6MQyghfrileEtho1gQjEED5AzJg6LOo05l95fqtH3PJ0WPoi4SDIxAnUZmW0H8OIDP0Hgy0D/jk /mJyTtupPcO6KEPVzdYdmIpp9vVBfx8Wsxt3AlKeX4bakZMjcDdvBmB1rGncuSN60p0UGO/OB/ys Em3NbEifu7XjGUxgjONbIQls+cDbrwS5yCaCCDidTdssGgeqpe94j5hFha8K91pyonTlUTwA/K9F QizZlqjM4MwrNpxzjPQ7LT1a9WEltpq+QbuqzJfIxpK954XAAiLKZZ90iicMsr58OCjhTZ01uNP+ UY7EKejyS1XfEFvBo1ParQkAvHVYaHyntl0mvR/86uMqW557nj/VVWjBWftpmNML2x1o/4KtZw4T hItDSq8gD1jqgafnxMwghw/4ENA+SvWFNhyc+YhMwQYOkPX5jgJBe43hkDq1OjllPS8EjCvnl5AO 4MFCFMY3Gm8Ifo8gk5die8hm8ftD8FMFCftUpRJB8He0lPnGqYhFuo4HEIHNCF1djFPq/eO+t9me adTrXCV1p7MJxrgzFSli0pz56JB2Zys72jRjwi2Hwbk234WgBFiJK1vnvMj//WTAdnCCMyQjuLF2 OMpV5ZDi/X6ngpV42V9xZforGuXtu72FJbXGDLyPsrBwfifE3RKKD+R72hMwUatS1Nim16ZSX6Tr 5JDLPZxwIcZvYmKzEPDmcbg8J8Hragwwh8y9ygYe7sDbX8RHS091+xzgIR8PmyrUHPoVUxvp/qyg mRHRC/+G8PJYcdDVGORGcsWHIfrsVGVJ4y/JSPBbTSKfdc6Wqv469OhkuKTi5rAGLR+bp/Ss1prU 53cjGAsykMwoX0iVegRdBFpr+jEzvmgkhV4RwEj0Ce+KqEXKlUeU1mhOP73AD2YPvoWoun6LoA79 qjWVQfJ/IhFeJksJoWZ4KLDTIGPH0gtlwXY0wP0ZerPaIbdGN5m+aQ1xqsUlE/7Igdhj4k32uts9 6bMwfKSfADhl6VJm6+KeJH9QB+wQmUC75QKUxxaRIyC6BxiGTOqN1FsleM5SRc4HrRlZBv0mkhaA +HPcI+inFIyD7rvE133PxNVET7vxAcJCvJer0zw3+GNzplz5jIZtPW83ANTA/9B1oMtL5MOyTL5t mhPFR3GIQ+L5I/v7MBy/p9J5TeDSJagfe8HvkAFL09HoDI+nrGvwFxslKC1B3A7+O/hdZzjSNRwy dmNXXJutrozOGrFHxklQMYOTTb2Wm6kDcRyjDeUwR879tCIUE7X+PI05r4we2cLXYU3v8EYEUb1b qv29lG9YokxJvn6rjs2vKDsQLFb83M3lqUBmaRriaC4CgS7W4SeULJEjNciZNajaRt9k5+ftdpic Zn/2cNt8tSSAE3myjiFIc7lzLQt99seTOZ5F3AkpxKfm/fTlbWizwrESeSgGWbEvPWB0Fnjr024a eHf0H4/G9IjgToqaeJMFeSbc4KAUgmaIyvuI3xMwM1yDXo2h1kWl5HP5feNLSMtHN9nvI9F7pZdW mrYzsVPgoi91bsaNGYjmXqQSayiE/5w4n4tZc66N7PCZWyT88wteeRksgBAsIYPZeZM6xLZYdMQg EpYVaHUz5A3xJ3psL9j0apKZNq0DjMlDcWupE74zX3K1gqJjuHs8WcUqTVHOORLJKgNRWgfdxKLO yJT/O6Pe5Ze7kvxeilU23AgzXyoF6Q7XSrZfEA6ZFzldXMgCv2ZJhdb7jZsBrh+o1680DqGnvA3T WgrKczb58rue8Mqj508Ew1+V/IBFEikMj4DNDrxiaftOytxs9dncdbNcuibo+MdAImw6Bijjoikm jmbd99NfMHBwveS4FJOm0+e12IMqcWF8KKWpC+2xtwLvk5akwX2WRa2N5nWw3CjQjPx7yuDc94B+ VrKZClCKNnNM1T1BfxJiYbzauJVv3gTmJQHA7w3rx6PidFJxIh7sT78v6VQilfT93R0AstVmIQAM XYKVuSr6yYbsuVaMY/IPfITfVx14NOBMjkcqWEKPzsiQYNeqqcNLN2oyXnt4I0G3BnRg0YR2QpVP 8HMq3XzrR3CsOUBXunN9HOOyUp76cnDn49+ShJah5TMfPO4tuuwM6NFr/czl3mENZAfUrmhBbDJC S0ibckC01ce1IzdF/jnX3yVxv3CUciZXpubiJCqrwfiaRyBIv6PaAe3mVo3BWW38Dj5Nm4n3rizk eYeP7b1E3EKcJYAMwTtQD0NJXiXxKgUYb5uSJdloJekKJnA5FLTAKZl4jFW5BgJ3Xn8e/mT8Uj/z 6HKcLKWSJrIV9GmayZsmhrFW1G2ol5hkQ734DUigC/EsDNI3LUdqdFzM74Hm2qqpFrcq0z07+TVb J3hKyM07xy/81CoJm83/VVNij5HDVZDYivTrp4isLMNIohPOXISrZZ8o0hZwOSKatmDWIy2er6es uZBHMmfBqRO7H83e6AbxEMOP2d3o5DIgK1cNCs/nyqRvl79v61QM7b6Pb3A4Emz9FA8QGdDb3ioI Y2DPLRvFYERf25pkcEH7HxTJ3284MFlSC2D11FkMVirPcJuwWvkYLshRjqox2Ta9W53G8o/2juNF sDEMIzESPIUJ0kcJ7XhMcU72wu9TuZ7ZMa9P5VUbD/YOlbsQveXRIOjdGDk//FYJsRB9zP/VZTXS ENcaAD+M0EUkPDvJbTvlgHRgfb1wEQNXLsZ/TRr/bJIiEkic4uJcfCZfWiQmKveF7rqmDwu0Iu6v gNfN07DokJzswYKKcoZZbNJZyyklvBVxd5UmVmQb/NhooGZsOI/Blw/E/7wWkQYwFc70mifxbpoV oKca5D9Bf8Ejc5Hunq9ptbVXbiKstwOUpvuse8G7S4zQSI4BASJHaOgjwst+NnOJrL0HC4kGcIHc nVgrochelnODqjqNC8dCb52zs3+BlS1KGsiRsuz8r4/DPlzRxwc5hJz403zTR5FWFV+4L3EQFDYy ZKOUV6l2WkBlCI7U3rciUc5XHjCrQUX7+l3Lffif7mFFWCWIRvRlOKJtdgFvAX+/vHiXAr4LtnPz ZC4KO7AIfClbxRtGBkW7HKA8G0xT9rbyCSBVSK43FmR+J7FPEKVynkvHaZmzmruCOA0xq1Nkugow uzt/SQM58eQe/OnxCImQAAVvkx37aDgOYyie0I3pbQ+8si3CO70J1SskQOIdhky9lAkXyjnWjEH6 f4qXfMqtv94JbK65E2Cymjo89npeul0XA64jlSFj6YdeIuvJxWyPVwFTTM4MyeW+awSVOyqLXqfT sVLYa70ILS6H5TWjlWoRJ882jpkzEIO1TEIYXEC6574I+rjBFfqMT+pO8ghP+OCJwD9llueBi9/w G5IieUngF4E/I8xTlYvhcFhZCRMYGlAXjR/XsDakPRX9HD7q5SQ+JmNfk8uZmcJvduXg7Nu+p6eL GKbOAiUnksTfvml8UiKkq7rowHW2vjeslpGYoAYdIdC6mk9uV463xIH1oO9PUUCivgDm3NfKRqzH 0EBt7q1r7EhqaZqjKUtCusO/gvsICPcu6wXfQbjicJ0f0dRERcgqYzDg4/nSIY0Wz4DnY6DRSSdv 2lIMJboojNM40DUMTdS7ZeXyPkufZKdVz6Qjt8/pS/qeIFrFgh7SGwBpInZEG3tqq2DPJTBr5uct mFMHU2axxhelC4ZYFgldCfCujnsrA03DzD4JRv+85d0EzdulMa+PLZX4sn/hgfte6w87QkQaz0Vc JDNnfdiIG/eQIQOOEDtYnIX/ZcztXLh3CU4aN/zCzeNRBEeyth0vnUDWK8uXRdori0LLXcRg3H3p J0rkCTSTqpjOHdo+ulgyNrFrgKkW7ds6wcAc2lnmzxGNjrsOotkW0f5e7Zb4XPxZKNoMZPTLMjC1 ZhBWlVtaT6PwSfb7yMbuLp1+LDjvpMaEiTItaiTyA3LAerUQmVRFX0zk+DWi3MCCKyKQhofEJRCl vSQfrXVQNckVLDuA5gM2dqmUwBDWzlmvz6fV6n+7jFe2G3SD0Vc4+09VNlhV7L81r0bGtH6f+x0v nX+ModFrhzlDwrzHabBvrTboZ4L9X6re2oGmvGfcV1a3aWxBLQfsCeNTDB/ne70DXUTMUY+7kE5+ MRx6xFJ80T95xZd+R/MhIIRGaumHkl5WtobNm049zfT3+M92Dx1K4A+Ce2M+81miYUl51jO3l7JX n/nq71JogcgUFv9NDS5qe7qXRyjQ6FZGDWGQDkDxzKAO+Q8hOTMIyPuETRoYPC4VLgoA1/k2ArVq sH6/YFOEU2zdXhKdOwPAy5CMbgmHZ3qsPVsHOcATOHpu5FsdxBnvfsiGeHiF2pJ+S1SerMjdFiHG Ia6yrueMWxsUU+pAJK5sQfZ8AJffOk5L+ukAUbOtPYkpRQpKLdiiKrt9kswTmbUlA5Di2UfHpQ/o iIQFyTa7QKgRNuQpLxTf/x5H7YuIpttDUcoSuWmHPACnDOvdleYrVzat5urkbeGJJzUaIrj+0R/k ypStVnN9s3JsxUOJO03xVjNyGI4bnwqjFD0TrEGcoJqpIzYAhs5Y59h7LRh0UP3q5V7s4ftQdFQO Hhe+8RvJI5IOlielmA6A+xK5boJNXtiDoI77eIN+Mf0T74akKMo1abbSHPhglPCXZk27vde8pSpe ZmnlyM1n+KpNKYB9oqU5QGem6qpsb6QP/skJvH7o95NdIrk8giXLBQhgtNvSMkR8jw/mJ+AbhPHJ ugpS+5t5nf48b+zc0nZ90zhUIR0x3ZER+8TAeDuMH6N/CWyNN+Cc9hKHuHGQkk5G1eaawLwbrgPU HoVPYd8JPpqOzOJg3ISSH+X9cpK1Oh7UPS0cmUHrcky8vcGCW4rq0Bx/NZr3tikeu8fKxk6TCHfb Zr7DAL+RVaaW14ku93gsbANXMTlHK/KifFxnTc3MIk4A8oQNODNy/KiYr5qk3IesUE/gOBzNpxuj p0b/f2kB99raF25eKPEkhk9EytJXDxI36y8er/1CNOqoxtrgzDp05N2r8xENiiQ1KJ5k7UxO+IA6 Kd6170wpC9Ilikvgu7WwaIZtbkb8l37i5leJlt7x4EMTgaP5cdSTmBHYyfWT9MkwSp1Zc0zusrGM mjEagZoifI8kfIzgEKMokmDeY1mac/RckrPGZ7APZ0iKr7jL0CGNbB+NgdtTFvRnfoN4bsji3JXo xQgLH/tzB/TbttEj21Ox24mczKP5q8KaDD24+Ej8Hbi9VIK38Yb3pTvb9R+BIRTVEJMB7HlEov1h Zr2cpz/yRRkt+DLsvW7XFTzpAjGKcI6Ad12wKL8mp8Msb9bwGIBQyM7JmO1IFyE8z35tyCQoe36K 49CssCjbMw//o7uOAPoeNIGfS7/cLQLE8hF9DmHaOc+aXLSmMKQZ3aO7InnQMeDXn1Y8u6ooBcie IVIMAecqml2zYfJCZ9fUb1fx22v4EIE/lR3Gv4P8rwmiwIFwsuiY8WTCw+QdannvgST038hEZNjI 0qYVMKlX+SLF3RPT8mlj6PtCV4j5M076slvAWyouXNaK3XtZZUigKv9hBh1Jlvq7YdVRO2WnTpwU PjfFGs/xBf8+wq+sL6Eh7l41vNUNTnjc9BDsg0SSBpjMks0ofHBUSgi9nD+bVMTOBUOSnL0D5duL ljKQ1p2L5m4KY70iSiwzBoZ7KdU9YRWdlF8KJPpE8gXSPIWvPRQTlWsKOg4DZy50OJ74SSfW8ChR 3KF2DDrebgPuA1GNR6XJpxGVd0FPLOj2TmNVhJQ+NJgHyKKwdITMV1sN2VGYUdF4ZkWgbWVjx1xG niE1w50SMJqV+PJqyvM+DMi69QBThC8hVLDljlKYPB/n7tW3gbcgmGvfaidoe/QhggkhFx31Zoha +VtqRnGe1AgWH0X1qVmE5hjSK6LdqbQ3Hl3XWNcd3ZuwHJtv8Bzzp5NWlYGT1Dab/LB5NQsqzn0t +3lLOT/HxAJUNWg/ETuEaGmt6Xp14hthI0LXaxmM78zNsHGM3gvfCKilOo4peIZBatRqsQGaRaIo ItFuH30t8DyIjpHfiJ1amZCZnZqtaLnw2EJTb4E2zgFT2uwifievgNOluB2dA2q3xlqqMsWDLDYV LJtmI9d1E6JQql/lheYXj1lE/DDbCpdlmemFguRgBkBOdQjYtVq0Q5+/76QT8/1o84p2194neh6u ZIrTiPd+tg5vr0ABTU9kXtqhEPyk6ip0P1LL3+huEJxQ1LJ9cUUHl5G5n10ERfNKhaO5ZpPZZsnG zwYMlSjtc9YG453s4uc+aPrp8og/bnk+798CgmeOVmj9kxIpg6i9PzGyBuRJvSPg+/KCKn+3CYcO Xe9Ghy/5R68mA2tNkJbuFGDC/TsXqA2lthq2wVkOUAspXCbhden49fgQfGNScc1vSPy3s4iENdcu q8s938Y2Z53NzeBBT1Gzk+SSr5XDaFCXlOXX25jNc5wSCkLGFp8R5VsUvjXcKRLgUx9tOnKErKAn ABq1FW1UL02yCZkROsM9SKBrcp8y8fKa7/XY4TRzCAInHv/YC4pm96I53ooaEYRfSBBRi4pLYRfr cu4e/iFPZfe/4vY97GKenlOOgp5YfWVYjja1wqM5PyzvCu1Tk+sJgu//VW9Dbl0ajpna5W2kT5hI XttEmeQf8ULbTG93vc5kMHwABDEnyLJobB/Jq6eo3ibIlWQlTQCqzNq47Rxckb7BPLOv0X7A+Ezx gzj8GKJq/qnoj0x/6dUbPewP4agM2tQ2QlQ4VV7XzGtJhGzJNhI6+3FQQi0R/BWninOHeLO1i8Uv mHrg33Kp5erZxx2Y8ghuwxkSOqVNHLURvrzJGZqqAkpMRIyFNZakr3P7Uuvleo7IHchJXEp8D2cg Deqm+QOgX1+AERQNvZBg1KpfD4EfU4TJsuVlsZEA3xsf0+zmVpD4pQswnWxvgB1/TYRX53Dy3Hvf EBLAxlkq2FYDBYRNkSCfBLqEcxSJ8q/NO80s/hrxyzUsbG1uLw0EFsXwA1MA5B3c06W5+v3N+6av p7iKo0hUrnEvQx++4iyGFyv900xqZD2XWL4jvWrZE7fgjGwpv4QSiKm+/FlX1UAExWEvAQbWR0lC YvXMl6o2TDpOL/z+uLbeYDDDgHfws0nAiJyV+IunwQKb/6yzaheUGyszDWq3PDbqW640ClVMCulA zDr7PykHYbWgXNJeTRAYZlqZFstGFcilVMhe90dWofMuNfRAfdqNFceYtAP3iHKJH45FKLvqu0t4 LjXdSBSYU4P3T8dhGiPhx4At7aIstadM2/eJjxe1FyG8wU41Ax/cxWSOFiD3cvG10+0Ktn2V+bCm S2IIPM55hiYkcG0eEmWwMfx7fAmQdyyzhQcnvKbl6DfEZf+2fCBo1bEmOG/7OPyfrkYC9ZQm2sH7 r4EGUEpLoXdZzYN/djg5N/Zj5R17pDXdcUFi/ieq+O+Anq+4z13A2ExUR3CGbqFF1tfk0sbglKz2 ehDrFQCXsGqGUZUMffn5HFXTavcN4XUpnmmwRafIMqdLiAnX8ePc4q8KinHJCWU1BFHshuXzyTiZ L2jVC2JEGB4bzkrCr1Ax5Rxiohc6L4/syVorUtNkiLr+7xF0Badp70+UqQky/nay9mPghlteh3Ja lxg2PnqPpWHKqN+U/dOu8/7loTWEyAw2othoH6a5Kjz3D1UbCdH/zmunrQ3DdbexglFYbv911mOw uVfMk7qw7ckoOQqQcatJskcypPdqq0XHUUJJc1ERFuRlzO7ceFhurP2W9OTnZJjijJy2gEqiAtwY EAdw3WrPrXDXghQLSOWhHgKdszQ88xZmv8nD++fdW10sIKeDPo0qfHsnm3Hl15uGLdoCcbrVfrOo LCQDfktu0E7dPtqMSgbCBFNR2X7Uawg2IgeR3J1bSDCjQwyUXjCDQ9+FNRjsTxengbZzTwmsIsmd hEzdjKCRVHaJghHsdJSrf9qka1zcsWzlZ2IyvkT27y4LSRBD5zos/7TlzeU1aJvhIoATle23K3lj Wk7TugyvDJyj3plZ0GQRuj1T+sctxo6Zbad+3f1bO6l4D7IoE9bbl0J7ALEO0JpBLH4fCAYUDkx3 Fpjwyu32I/Mz2Ml8AAOCnCIxUK3rBQ5p/tupZILqAL6Jp2BxoWDWIHohSDZluMCAUUIoYwasJmfX +nYmcLEL57Kry0rANqjRHrlqhi3YfZiVBxLszwisdujnct4fuuilYaOvYGAtnzh7Tala2zyaBtz9 Pz+40mEiwHs8ygi46LyNUncWV4wgXUleJd22KtOylSJbgm/d0bWzCTxlzN0Uvgw8XZW8xbbTTgdm p318Wpm3WNwRF/lnoEpbkqyINF0R0J0OwkaECy8+vmwqTFTN1p/H17bi+W0QMhiYp7wGGiXHXR6Q w63qSFG27ZoYPERjpz8eh8VnZRstqcoBhFTwIL8kfvEVPc+h65zBQTAAaBfeOmubjpy9zcNKm1AF 5KBt4tAMsqB2CBdkKd83VFYx2bfwAGuAQK3gJr7ImpuW7rYx9clm2M41ndhuJ+PcP4tvtRfnNUvG WftBNeKh51sbMJfZPcvWdKMX1mSQkrPvfFkbeNW638KPFffT3QBI5x6eo/ETlFUT8VRymR60zj3y Ro2e7rnsabxXYCvYL5FVxxbYxMisTm/TRYWK3fAZQiZ2biel020v69cL1cffDnP67hYtmj6ii4SE YDZDzVdzOrlD/F3d1Neyge3AEfvXmjgpqo5PbjI9aXKcjs0XYrZ9QYbRjqUphCv3B/zS/Z7fdrwc jXYqgceEGpC4e52dQXNl08yjSFlBL7tNXADOawvCCjinH7hZLbsPYfNRlOAGYWYpJBj3xyz2h6Kf a/6l9mn6OhlJJoqRwH74fVCpVwmn38J0qzIl4255grU+XxgwrvcR8H5LJMf7hDtVhyBEDaH4Dydg 8fxTWuQK1PAutKteYQZhfSIuX4R51GPS9brZzITdsKlNLUEmN+mB9pRVcwxhwQ1r1HcT+0TJ2tiP +uNObdt2k0+TP2hI1mlB+KKJYLoUTL8y7FokAP4GMhHhAkckKa7P+VIzZ3EcoGZUr2mqwIV6DZix DVRua5YZvWB/1ato5iyR+HgvLUCXuMT2a2So85Tkm/Cb9I+VZQOnU0dCF4oVIvEQ9UzjmS87ECF6 43vq7pBezcZP07OU+3fGNBWgZABes1HiUga3n0HgKrSe2XfL6x5J4bRojx4b24WFkVVkEJnXJb+J 3uW+83t0ZMcfhNRO9E7HzmCZs/CdOAxZBb1pDvFM1rBaW0ulfVdwsTb39lamXD8i+mOErzX0YZ17 ZUKH9Nhs7E6vn8QUvwlNkT8QOHvPJHQZqeyGlHWqfLuZUswQsqORcV34ROFWZsOZilBzVLQd6g3x W6Q6e1HLnPW/jMYeWnigsM2bnFFu69Xein1N/3rNvt52pgpoywTCv8DKVsr8ntgNIwcOdLEiVJ38 r+wBKkyxaU4BgTpljXf6PGYz7X8OUUy9dHkxtHkQNEY3rqgXLZcKBPXu3itNpBvd8uMFoVfmCE1T mwDwNtLIbHYMgwz8IDBMPds6a50/AQZXiKDUXecLFVveXS9lPGXWv0gWLE0rfXRRaOo7IxXZq1Ef 78vHlsO2A8V/YjOQhFo9oJdCUi2PYpAYCzaRsjzaC9jIwgyqnIMzB+zZNfWvU7vW/oGpzocDUvZ+ 7uT2W6UKKnv6WHcrh9tMEgCaobCmw/lhYmbt6xVlq8BVls5JIFONMbIO3c42iXl64BOEXAM+DNAJ wKTTv+bfgxBF3xhulnGPxt/BWTDXHkiOPPYt6/5aH4IYQ5jAkkfw2HIeaIXEQvH3TlHgWwQXSDm8 Hh1/uXQIn7vXpfzS1L+189W+EcwGbRIGguPYxalbzd1edK/crdr/4YekzPPk7Y2CXc5R6V7i/R+r VVaUaoglXXuFNbzZQnk77Zz2TSiRsZVueyPPB9MPSocqCASkmMIq0NHhjCm9hGMaAnvDIuORDnRG CrmP7/o2mSqOh369ORHY585o4zDxjAQPDQ4hzKgtndyhRH43TEPaDeW9ZyDtjcPPMVEYdumhkDMX NIvR1Q0Z3mu6v9FjqXUKShVAk0wNPeXpO8D/AhrEg2CycHdotPVsrN7ZhhkrR8SDSjwdWRs/PcUK kZWAG/XTQ8gWajaoTo1CVvj+r2quekSk6GIJnU76I53eMAnFpaokJ8pUSOkYLNyRobG5u9xHZNrg DOMoGFERysQZOeStgLyIkWRepD0iYAbBjp3uiYNIsFOgGP2rm6la/SEKX0XF9CdjCT1S3key37Se bARa5w4t8sR1oaA7vY/K5k7dpMdbWFOyjzjwnq1ZUEBStxyAYjUInD/wsACrZ3kHEjvHiVuGFt4t 7h6zHtdkl9C3hvKiuZPFxBpKURnVcSHiAUanz87sYor8B6PojJA9+VsEoBGFDOycjrpVGZN2NQjc mE0ZLfS3hWYRocA2Isb4//gg7tZOwsOtEHldh++RePE+RuyGhHrMDbG7clXVwEaFmG8XHebxzznF JQxKLqsGvyOI57ldwCLpHta5DexRuYK4lMSBSivh98obBsKO1IIc/95gxpHTH+2dMa6A7vZAGeSW wTThVjVOPhmXAFkSJuyj9q2Me7YAWV+73gUtL9Jc0maiuy8K0hH0rHHrnv2mKVzWHhmEpxh1DwZG zLob/9078TVywdJk6OxxhrZjnv53kHPjOXfRC5YIGA/Xdog5MEmtSaMqa8ZHinPO9Cmjzi4Fig2h 2oOIqVRtmRCzp6MSjoM0dzW0OTGQLlt7xLQm+Q0PY2nZRzhBtykTf8npdFhgoMerQpa8X3eVNVx4 qbkwe1oJsQ5YN42PaLJtOyPDLzwLel1I701JV7KtYvD2WAh3IlLFy+va5wJuiFmv/6R01u6rxo5f OVutIaOrreyIZNFvPYLYehNW4nbptCY5m8V/RlZelQ1y+/2k43kkNlKxyLUFSyzLEttzmXppKJkV Hrq8EUbtBcaQG9RoNqITD/Rak3TgNnUWnO/mHjK3eAcKWmwItQ4obF+KtcZUYGoV7nF+M9ckJKKP DQrLEBlg9QX8dK2opMOceiIH0Nq8ICjtL0nGtS/Uy1zE2Jv1r9sk10B54UQ8PXGBehymcKTAfILq 8roW95QwK5388CH6FulIy668mtr6qyqS3FqPwRJOamPAn2ea+ziPmExKDEtwcj94aIr085qWIcT7 6uGbfQ+eEe+KbXnIfJ0VuaMhDbSC4ZrNQjl4MZLq4OdbNaUYaxGK5RDLfmQPDgOkGzNLGig4paz2 xHKcb11sMiQaD9z/Bz/CWQAxauYsYXtMfx5YEtPozdvZDItUjivi5cUKZt9/06B7vv+mvinD6Y4F Y7/vcqAESa6VhmQ/IDEUbXE10+3iAKCqdiUS4g87k3JWMyxDIGNRU6XvnczT/GRgNqRysZcTYwpD owMAI1n3ifPzfXiTpl3VzxlNaZeIUwqfKg/ooBUGmrqmfcmAWFklgLNS+ONFNoWfGKPeCp38q6X1 JSM+/5daKycDED16T5CkXUgM3A7NXKVpsNSYnd5GUF3xbPNVYMFJFOGP2W9QWTo89/3Uk7wNSn5y OfI1+EL5Y807TWQxSmTO3qp+U74nPoJ1ur8Cy/xj8y8xQ7JjSYfZzQGnyL5BHaXcrBeKcWqDrlvS VM5Ox6cX+EhMac851sv8jXQxRminhOo5mCJSujXweFEkmzBofraiBpvk3hqXQRhIIXgedshk7vq2 gNwcmT9KB59U8lRPAH+rw7Jm81Uf7djnjNNz1bvGd1ZEHy0dOzAtYUn47l9Ynw0Fuo7Qwj/iljkt +6AgK2DedEXNnO6p0nF5ySxJ1N5YAHtuk45Z8+8e54GXiYa7Yc7jI02/MNfNnKmP+n8dRJjECcfi 7RQR12Lq+1I8HDtTVfJ+DoStYer0nCjMMJD+Rcf9BSu7A2Vw0sEXahHbwst3HeGJMBpntcaE7Qmw T2ohDGBjWPIIHUL4GYsuc1RpWjzCD+hLrTzJkwITPF812OuSteUS7QvlMuucmuwWWaMKz0q1GnCe Un01Cvt8cxa6XdWL2QCsOv1zr9TtyygvXQv7dgznYPpr+XmkWdEVmQViMq6rByMn+u07Wd200Ynh suYeJzJEn9Mcg0ES8uouyJzXZJ+TG2mXxpsPXlxkNbwowCT/LeZDhx/DQ+NPggwwC1bPGdbRYW1Q fgJSmoLuqTcMLJWDOzX0NDmSkDwb5DSN6p7FAg4zhfU9yOCFemY8x3A6W/8uirhfikYWLKEHEx4V uLL8sGfrg08pFtvMQ8UAlSgv0DJKY49c4hzSD0Mg7/PqgG/a3ov1biHaWiVh/PxK0RzDXkG/EaW5 kfd2Xmymp2p0yE7gLwibjzXJfTyuac3m6zqJIfJn/qeWOMsb5XYsTjodUWZs4781f1G5VggakD1C ryQ3ZHAL8mT4vpy45B7d4oDSVlX6b0NNl+FNK/XHP11xEa5GCs79tRDXLkkhs0TK/itB3rVNAy4f SpfvRSG+53VFFu8ZkEJKlW53WxLeDmicMBYJjFUdJ7GL6xHYMaOtgzty/68Fg/xYNsTFCuIzls6r NjyBs886XijCzIlrPZq06DVUyCh62aCqQwjTD9pwacdyIjlwFffnXdhuckoaOMxBqckuU5rqFuvY YTuz98Sjpltkg5vDYGGnryliWoV24n8akQqz/JILCgkiQeXSD7JW6YgrwXGWQX1LTm8bItP3lhgH SMfOGQRf2cxKCCqatZpByuCv4FVRpMKC8LP1zLCTOXryXjg6muZICseyLj0QgLqekxf5+X+NlLCD mDMRbgyf7vnX0uFkg+4gfQ6K2oqXvXhB3r9AHGK9WWYrHK02MrBq12mfmisnqX6kMOZPJyZCOqg3 9dGmr8y6s/dBWl4Qz2b47ujwwJbh+X8r7dh29WPZ9+q/+3HSUs3/tbQWE3fdy/nPVK120jSB4bMx bQEKY34dGUve886MyDcZeiFD0c0STj7KcWkvxo1dECxH2YAZXcJfRAgJwn0CO9m6QmHR59q4PHhX +5AEQ5HYmMJ0kxwuUVDF1rOZNHaP8t8/TV/rK7Sg0Hzvsb9QRrITmD3KO/9cxphjvdTQDYJCsMdb CwqazUaTQZFYVOVt5EX9s32sILtJjYzINT8WxCtWJ57TG5K//T9hE3qi+k7JnFwDHaF0CUyamIxx BzgMFBFIrgwWvurQWpnjZG4QhaMayQOzgQS1NYjUjUCHDdDIcDQfbKI/kYMAeCZgN/I2xDnk4C7A 6XY8PTtwea8+bma65uDjTB357SVblsfe8nrpnAI0Uh7nhM16k/rQJYopkpm5N7CONuAKtlXJKgwe ya593oyDK5KMJKWdiCmgyvaxy067b/z2P6UT8eieZsYkogxhZfn2CSI2ZpVya2EvQrZn/TS1vXxU oplADiqkbvJFXugFabbyvD6iK0VcT92yLWnN/KKTCY2JiK7Q3VBMhQ50/1SA5eL2BCUJztkV+kE+ yiF/Tum9dBzPJErfAejHDZK9KIfBs1pyh/R2wNJBfEv8JwyXY+/3M8aOGfeIhF68F5McCTMWHauC +EKIzH3BBZCMoIF3R/V5VOJqQlYTXE0BUtugZUj2S+f67LNBg/O0No5BL4jC7/gwQJdWJuYXkKxT PlJaMwstHKpm1IJN2KrSFFflx2Mu59HHSvc4RgueioLQKWSPV6UKNVoCzZ6LsKKSPIiNm1L+q912 mBoDE3mjihkRTclyOSGgCKpRBPn32stswLyqaoyI9zv4yDeL0dsqcleW4l5wYFPpHeJrsDvirOTX 3naxXQEEcPctdkL4GUXeAyj9ZXrmOMSKvlGqaU2fg5KrtaBcj+j/fHrBsIO7WM8wvITbaFpr+kGC AfxKUttuZhvEZDTdkjl7lbame0t88GsvA10Ljsqzpxgr3AybagnwZ4bfep/sIW9HBLRnsWooL6oZ JZXYF58mHvYt8DaBLxQnwRt7gwST0iSXSb1wj4Tt3dNsIbK0jiYEGevEsYeOD5IbPVB3Sa4CeLuh MLoAzRVy1Rb1F783MPlxpqWTzEx28OtWz3sIRJ3nG+S04X8/9fQiYNHavV5TwAdDnWqz9Fy1MRX5 taA2Vl6TxNXFGmNJZQD1CP6SmDvP1pf3Ijsd5a4qp3TnuIpmIZpzYcays9dP2A6KFmLvJE8yh4Jp HSDt6whz1HbA8JndzcKW3MK/rc9neMVgx+uTlgSHKUQvoySUgU9dxQBRr2SNRlL466TxbFlR9+zL jibx5gdKyJ4Vx3HhCroBGeqCMxNI5GHO+b1V2yDaxpXRldW2yBZqtMSMu3WmmjMSrcZfpQ4ev/eL EggNhrox5WYOx45MYS2q65zl4XKYubuanOnd9Bk3Kj+VPKClsM5thzQd63n2oCJN9EwKYBWResYf kDqn5PJI8vWPqJ4C7kVKiZ0Ovze6wxTS3ZUBMS2UFysCKYjF6UbmH+Tunvq4LiawWcqoN7uOQ6Zj BKMoOK7IF2paOir/wKUSpFtHZrGfv5dY4uDNUFpju4RSZJs5oELMNYkzhVfN+wvCwepnl05Ufv/z v40Z613f3/1xWge/miczM3pFcdhKd6WbSiVtB/SdfH/o+6uLRNbjK6durvkG+56HRWCvVizH64hY ovRRuPm2F7y4pfNQFd5zyosRpnsFaYfN4Ib5KyTMpmgi5Qgh9oLwqdJ8/HEEa/gXb9+jsSNMe3+w 30s7JOi0E5Qx+lL8JDVMai891QoVBBJOF1+E1M+npvVY21/2GeDBQ5ZK6+lEy9Fts44nHKWBrxV2 iAVzZ0GHog6fIfb6V6hXAJCx8jlPiQC/ZTx1t0KP6DnlEVGVyjaPDjUDYrq4I+SqgSyuR36Atgkq 6r6yucXtKlLXljGM1cUUlazyBfRXfM8iJ7UX84ZGswBAMdqGCjHNdeihsEOkJD+sg+bldDtWYpDb HMvFxqzEUbjiGzZOT4+4p4RtYOlx+5WhQIGnp4sJdxyX5KGKGno+5TkyDTW6cOBwe4HuRvs3T9Fs s4DdCVJ0xcEGWI9rO9ITAntWpmsesnOQ9vCUv2CFOZLo2udYZZkYudgUm81dqNaDPTu3fztNbLZh xMT271OmsNoPhWSQD7QZIJEpaaePisEYIOAjy9HUNECUzU7rtKyKbqxuFVecqG/83N2bQtCtbVvb KaEEOnK8c3R+FbgOzHAphqQ5rX2cj1/UnSpmlCMaBzEkQ4lAnu1wx9rCT4jZiKX92pPZaZR2kbcY l/3rVbjbc++pL+2rEQdL/D+ojGhnzojSXaTOX/6pNQuLU885Er8EgyFWSElnNk6xpE5bJEC7U2GD 09R+WVy8YQpCmIN1O7s4X3WS2o5hGZoZ9C7Upx4eLOrIkLOWyALZYPtbby8UlaKoVAdCMJxY5Xml AJpGU0Sb21jMJErK3m/NrVECy89cOPYdr9o45eEx6nULs3jMqmJK4eoprn8pgaUlbyWj5BSfyp6Y +yCTwtt6exfFBCKt+tlbg42uop1QixFuvzAw8rjzHpYPjq1uM1Nk+SCI6iVrWvEyfqLQk2tua2zc vVzNeCXjwCtm2wNiL3gJgxIkdD5cXTtK6jxeslrmppkFCffi8OvMJBY2LVtZlue95l0jf3HjTcmf JxJg2MJDsd/6ZfDVcLdgWMzxOjHMkmfHgO9BvpGOBukDE+nLyrHq+Pmz8tqk0EZ0l6uGtmLlUPHI 5R9HOxh1ghzip0+8mm4LAmOcn8yt8pItq4dXQ7r5cPNht2ab+8utIN9IbbUYvh77qb6bl4oCMYuj TEjHMwz6TGHFTln/Yzy6yWL7+vKLOZu51ikiudAus5WZdjXdjcdDb3M0+oNpSO5QCtriPFvrQcXN lzXiR/sPsHEHB1fI8r6At5GBEdWNBO30nYrwvG0fZroEg2hStolXP4aGLq3sIRxGISgBOr8J0lRa PH8ICUu10kgOhIPd63RHCEY8y1PyUZum2B8KyD3sphzxLmlTLo+yriLs1Ol/MWTYadeuDCuR7m8c QNl2Ts2KHlKo8nnxGsXco//Ksq7bayiv+x4G6Z+28eOtyVDdLjH4HE2PUXa48v13WemFuzzLJGSi FP+/elv3xJNK/ROsndA2WKDBIcwE1BCme7NpQQ3zMFyKhbLWnVbNeN5mqqwklhWZzke/SBUuNNR9 D/6vxNCP/65aoInrIN4zRQD6G62uH/pu+XL9wMksdxvAJkXPZprBsmbiGUQ0mFWU1Pxql/hxRcfw bsHsGquzaTWZYWTst0x0/fsf2MSHDOun1w/LisbRqpqCbB3BZVmy0TOP5NEZ+uR7Yt5bzLpIZp3F guhyBCB+gMGPcpEC5OsiM6XlK+PfkQ+TUBmZpd1sv/9ikOB1yr8w16z/bXRHttmBCyn7Quw8kmyn YRV0RNoFvyY0jlMmUCOctSk3jJx/CBv7+UPjbvalQnkggPtgnA5RNQBZPlm4k3nIgn7B0Jb1F6XB XpMJRodUpvZumTlj1rx8rFQ6T70MsNqq4TkkZtsnBD3C2THkHtl2Ui99xphbYm3ZsslhiB+D5PN8 FJJOxhiiwnmSu577NKB4F9pqNBtyg8IEJfIcmna6uX9mxaOCsBC957D+oDZYL2WmO9uV1UA9/z8C FjE8moDXgO/FOOE0z+hg0aMJMWOTn8x86sLcFB+0DqkwY6Ku5IPvTmm7j/E0vPve/iOV+wMK3bg2 b7vTwQkQpmUVLb7/WRA6gwI6bdUnrvG00CasNMF6aht0JUT6we3Ctn2Tgbr+nWOhGHESqmB1uZlh 6u0j8jM5JOV+mW0V+R2SqIsGM4URqvuymtXNJBlhVV04AgcRBGaYAAIrlnv8zDQB1M3xMLz1Y7N3 qxQyEVWZQKN7Z4miGPmPurw3JnwU7ZReLeRcj8XUXsxMbKUT94f6ZZgricbNAj/DFHq3I90IbVsm ebse19dvJz34Sc7rKQ4V6ZPQEckPRYaOFe+W+t/gdu8mhqWGNE857rrkykplZh9dTTJBJQ9D+C5S vhovWpCIRuoYEjKsq2Ev2bj3e+NJE6wHkJNJPUk0HD/a9bcZtWFhpLe9En2Vgu6cP/pMaQZw+Z0V 7ByWwsvNSJfd5mcijcWMV94VwGT13J6kF6or8jbaGC2vDWhmwviD5nzbh35BPT9NtUCK50Oqh6RD YxCJ0N2CCVgtlBWxveG03LYW6floJPI8uqPdh9X6wNw67NM63VCysDgqNIjkIMQoExcI5wXHM3+j WjFc9EAOrWgfekYBvoJq6zCdEWPdAebYo1h1d1tPRVzJVMOnnkMYcfMmaZiLH+pUuZwbgx1SAfdS CQCLVVuwDxSdPyFbk8QisUfqZI3C2mWsbshbvoXoMazYmgO9PNZ+46r6sgyibz2tSrqcq+DXWSCo OTz3RSacpPP/GZP4YYep8sE0y2P7VID4nz/AwZVfrQEUMReM3YnOFKKTEqIv5MLYXcVa3P+M9GbU wDjF7fDqgVn52IKxSd03KH9+mIQ29kGYPfWx8yQdcdjAHp+/Nu2YXhqFMQAnYOdJmv8J6d74J2GQ QbRgPoEHfL/zT0Jt/Ut1gydMJ5JIAEkwABIEXp9iQ8/5rb1L3xVPoM9s2/V2WcsJdXVYMPHMzjoC xuIPL9TTO2o+mu2ZtxJ2pJcgALj8Y5KjB/2Srjdr0x+GrtxQLPN6yaFID9tamGrcxA7s6CAD5Yrr fLCHhm8fBYg2nX78h73x7tIoKdMtwuZzO80mHIn2wRT816HqMGFQRsEsmV//olrbg10zxpwVUcxX t+mAvVp8znxWYvQ6YpL7b9GszHFu4irWs8EZe0amvS7/3XEABwqfR4zrKqRWnbb4qb6mx5WDVw6v k9lPztMBLs6IpexihZoru1rfn8ercuE4BKakK0Dv1xwjaKwGFmGlFRHdUKhoqbkK8kTDW1s0vql6 cUKsqhUZspTzoz38Mhy3it3g1+l8ADjy6AVunew5GXIAolzcdQ3BXxrok1s7mqvuNHwsoSoGBNSq OcB2p+lokNPRzgvTxFipL3HtJ/syysfXda9Wp9uRrtpooaK9x+WKGf+39iAN95phn2yzjVuunhAr Is9ZO6zqJLbDMF0h+p5W78mxRXjGnD/takV+0X62mR2WcXFpnQSl1lOnvTkrgv/t0QDmuXS1ErOK EQOIV/+oXlo9h3j5f1INJyT1TQamJvbLIFJKZsEbjw5Utn9YfQ0U67O7gMceuwi/1z9InEwo/pIP XGL9XcwA94oHg9sI8nlY2HHamhcEkDTJRPqYKiM6CixAo9ake7wnFKFAFQcUEORUzmN5qhKzUbm8 H12tj23W/AOkWEDvyKoWfQNNqL9LdWWfXNDsQ5JmLhEVdMLZXzc47Z4fBSMn85VZMq3g3kgsZVP4 BTAyz3Ze1G6PTuiGZ2KTdwb03aeyDLyVxa1tyINZDJ+A5D7wZE5KxRkNOIoorcBUX6OJH+H/rRG5 DJO9AZiIP94JhcnR6lNimt4eE9Lc5r9yLaBWGoNAdL6rz/Q8kYW1Qbm5ZSQT2e/iqkKG6zHIWwBx OD8LOnMeHayAI2ns0PNcAG5goelMZpJNls0Nv6TKoXIpixd09o212IxTYOlEnFQb6XhoxFzCehl7 m5/O1g13Vi9GQOkiVJWa3AWY/zTpoZcyJILfXNcgWhsoOsXZqcVH80uDZnqb0FW/0C7a1t7aV0Qx Fmg/KR5NQSqn5JnDQZug++ZCJVIU0eznp6Ux5YoVG3/8s+6YT+SXinGqLozsjyIX8FdUbTvB0ta9 1gfq3992jVRIS+4n4SfioIpW9x8mRi4h0GSAf6QQanqt57CJPxHiT68jq3KlidGDD3ReL84vx1xL JGqB28kJcZGCIbCZi2YtODsIRnvG39d3l4QajmmdNqOOTD6prEpqXgTdRA/wxIyk0UIveBn9IA16 S7e3176XNx59fOa9AvKyi+MbJtJ0X7KGlLDC2miDZWk9xq3uJ6XIqjPTGFH2xGQc9qVu68cJiQoQ oVTo/MOYB5W5ksCH2DjwvkAoajy7/TiJkXhderO48Nov3Vte2ForcQ31xFqMJwKIcyB6nEJj1HBb 31eZezB2s7Y2aupf6cT2L2qprzhSvSB3nhzAl8+3L1Yw+gpJ1rlC5oS9UZmYwh6XK9tqDpxceDVB o28cyvLt25XoDNrmgavk3G9Sys3I9enOdMHIauPhBqVWrd2ElwpXUm/RYjIWfUNzqYaboWjtOxGR e3KFs7zwhpCs2tI6Y/Z01PuKCOq5k0BZhFVnwa2830l7fs50mKluEDd8d82b4flSysfNZCk2QZUL 1ZCkSypgLAWC0HB7aiM0Yusk0xCv3jsmGtCZsAYRzUpcXjngf7PaysxXLu5dL4G3KZnNgD7LBW82 Rijc0AMDRSdanqpPuDKypxH3+m2WmN7ZPtLlXQsLlyIzJKYylg73063M4E+xs0I3ohfeuURAzvrJ n8vRsg5/NYTuACJvZ9iUdLRfoLji/CeSBcvXdJOECZF6f9qvWu8U/UzWqKTo6sNLvUfDDCgsqlYP yZNVzlSceJU2S0Eyn0MW0qju3PA8hWHDxuo6MLHtRaxm35CzoPqdIGapQSoZi8Fif88SQazUO8JA EeJDMT9FzFXEnmkiCIlUbYAOOIffmOGyXCqanF6H5Cthsas6+/6gH4DHLaWOlKYV3faE4pVL0WfE ovuPGR7xlSYOVePiIKoUmY9QER6XUddIUBiSu3yrKkLO8gN7qXuoQPk2Uzgn7o11wSJyyLztw0xS u0EAmPn+wNmzpK+RsM5wa026N0Xu+9hlbdePBbCuf01i60zkBTdL6wXUwAvInEKhfHhL8fLCeMVk BYWTV/9xIWzZ3+bIgO1eUShksaEjVKLFa0xYveDMGzV+S6MGyHlBKLozOaQnyr+YwNqBwfz2lPQv wANCd2yKslawRNQTV5m4Sgyl9M1nAYw9dr7kGerCM8vASwRmN0KLMM9LO7ERYv13vGXRDU9kH5tv B5BOAllM67394O40zmPYVX4DEzYQHGMiZIfR0lyyrfI2fD7j4oxI6DmXmsFSCqpqOOHyplOhNZva g9jWtJKC7+/qw4VSaBpJbxb/UPD4WdhbDEsHRbOg7JUFwFT9cKDZSKsAXbWWFYaxAPWC2+pcmGMy NedcyA94uX0RxyvkHjULCFmg+CccZTUpwogjxaTC2nnBsUhdrZVRqMYg1msFYqCp/jzv2cjG/l/9 3qKOp0LrrK2YXvzsfdDhavK7ownBIgtIXKtazhAzRJ4CGXPrjTXkC815AhVh3Reh6DoeGtFIfDru E4QwUhBtyjCn3MfC9dIS1tCmTUVI+V8L7FIEkXcJgishNtLKqUTOY2uCgwNaaQhK4ZOQtnZbcEEs jy5KglkxD2y6JpxPczXZtER4lzMlewvtLuyx3Gw+hmMQ4hitgNaykzFv5LP0f7Uc269ZeknEAG9F T4SfluuIbYeimXaTNcxFFXLH733PIpqEClFwPLq+hKP9b6wxtB2D3750s0dlp9Vp56KqWC7l2YvP Z0NkZv0LckBqOyw3GCDqfgxz8tzYKywbSTHxbyOYkBG7ufUeb60rywK7Jl8XE7BnFKlWAKVKGbqK DZJhp2UoD7j6cvlg+38frggXW0B0ocsoxtX165/tOnOC0L45Mr9BpN6zHFs9gstqq0TtCpCe+8NQ ABKRflivVWXMuU0KZRjuPXwy+9EBbuZzVCoT0MHD/wgR6SvbaSo9XvAWhzpELg4aMsNwTsDSl9nj AGg2D7kDwVgHn77X7pu2nlpC83GJ5ciRW6+lz65fHUqC0jb1/6i8fiebkaDWgGgUe9Qr9W4WUFxT kDl+uJISlURovVHX6TgqXTZw3LMfZYbjWP4FDqAvp4vI7Ai6duLdh2anneBnzm4eNlB9AypS0oeU 9PkemJ/u3igm2/5RurWaLa/bBj1w4TaCe8EP6nq5nbapj4S7B2LTYeV3Tl0e2UAvV+UBpb0eRATV m4yHhi7LbqnX3aKTRgUvpmFU8OHKRUbfXRh+t3h5rgxqIqpaOwcaGzGLJ/hE+8knkRFmtO5ATyvb ETmQMMrQwowGfvK57+Irv6tsp9RPWRY+oJsLIcOmG4hGl4UUYW/7cWEMYFPxX9X2I6Pd0leOVoN3 65qxdeiCXaQ4qWN/avod1Kk+32r5GVDHQyVah0sFYFjPQIJyIyKvIOkvcBJX/3b/sNziFFRPyG3v 7ZGmeRFUh0qZea85OQgCmlr7EzlwV6Y4ywcjJZxAYN9gAZVZ8mAfeHRLfQtXdR9yKCjkYyoOoIp1 Ayq6R55gKBeYIRzmXLi1ODHxw5OL8jsynwyv25tSbKI9sQXu6NjMIPF4LWSxi/JkJBj9AOAUPrs2 phbjJQ0dQSw2JMWlm4gjydoADLsydU9ZQNeMNvk/I0GxF/2o4zyt5k3x+ML2ah5YkyrbMCbYDHuf fPqz2ynFgTkylo+hjn6jogiPqyREVWqyAhA6zUDgMZGtNcVdxrkeKStekqOSd3Z57LfeqhC2TkLA K8s7T7pUh5lJO27uGj985C+4CprenABO7waFsFTbCyJKP+OitjaGgqeZyr4o741coYJoJluFcsGH 1FAF9pFnatpcxumXuAXZVB/QV8btbReavf2BWGXJ8IhUN0JbFOA2hlbiLXlK+ZCuH5XmSrO18EvX QwRtEChBDcF1Y39/XVWJod0BwmqUpIcERZMilSW3Njfy0d7ntG5zKRBM40dnvnW6h+xpT8EA5gWd 0mEOqU/474wKwowe8v67PnKwO6Fm9vzZV8jneVqNJNnYx2rd0yLrKq3/nbitnOiXR3fEuyylwK8S VU5vsQUbHr3u4dTHStvSnxqDU3fzfZMi1md1N61I/KqbEgQv8bbCRFSn9SIPPtDfcfH5hRC4uIVx HdNuvH9eRFJplGR6NE7SzMvx8UvlqxYr5XpAPkFG40h++RKpgZ5PE+ivrA+SlTDwi3PAerVmm/Ic GiqBH6Ejb1Vc2JvU2oc2fJ5xkNUyufIBUMNFMQ4MUIsZpLQCFsEQi+XlZhO9S3auH/svG0k0qqlt UgegBRIe8Zs/cDWD+OuX9MrRT2kePyw+ISKUgnMYuQMcsfoH6FKFsFZ0zkkkZshr4i5e2tzDR39H rO14QqDN8GW1J/dT8tto10cJzAivaXjAodubHthvLbp/rUKA+lLjGHvgwgQL+woxHxFWCm6Gi8z3 uEVAZTtmlnjY08XsdwrbO2FHeQf725TitlEd1PtxvDC3bfIVO3cWioyVDrzFwvrsMEdyD0ZTpBIZ OAH4//t0xtkqcmQAM5Bzoxcmq5UEQALP2DgmXTybOSGAtgGwRqzWleOxCwaDsXdN6jO695peMatJ 91T1eXY9toqJWtGhqbsdKFEh2ylHwaEokGfL0EETA07yzwyf2TRLX/csKjK72QkdELYP7rLUYKpJ F9wX1PrajIwx+4Gj91FsDcOgGWdLii8GSEmn51smjyLkyCWuqhVmdybmuiVPQtUusZZ+IutXID8e 3jeMR6QQ2cOVOWPPxljZlTIYIPrxpRUfuBtRSb7zrAXqq7dfUauQ2YUeaYZ3TZ5iUYdMXr1QPn+2 3qgXMpfLq0eEjx8/8cnYDrI07/8L2qNYvgbNGnQ1rxnYhX4KOMEaTXituBGAd88D3xmG8lobE8EK 0KAkdqF80DGD7gh2yLN+Aq5A/GuUuD1WBZjKhCufNZPuMopqn+h3GVGmVnVwHeMTi38cRMgl9PES IFlLqCzoNEyZt51bkKuCjnUrKhjg25IzeAiZwXsUmsew+6d7QSvBukgHxyIw+PpcNbbvE6s/p6rH MpN2OW9AMQ6wh+mtM6QT5cSC/GnXeg7XNv1lyIIiBRDJLXhzBXcpts6qQepdmNsPRo9zeQzplaY/ VWu0uGJSk+W2t0Kux5lCZbvQ0WPrmpiHRs/q7Ofyhruq/BdcXqTYGCPCMz2fegs99KdXANdMlWNZ 80ARjHBIXRFpY2ZLUXzSRMrrd+8ZupowLOylukqxOB+tHEp+Ul7RgVVPI0L+ceA3kSgFmol6rHSf NW8IvOCBcHW2s7xgLPQGcpI3U2VolVsfWVL8SMgaGbxcMY1gNISvN9667TLzZ7vR/ThcGWF2qIVM dWKv7pq184m35J8uB9bGCbrQX2S2GQsy0qatTS+uWaVJtl95kvlL1AIj+K8sojNrMRIOke+Hqc3F aYZa8y4ZpQAydd6ZJRpYUsn8MO+WrVAjzDnenrrOvCDgqK+coufxkRLkhx89NwEFfKy9anyF4x6o YsdTa6t4iuL9qLlmqGTp5cMf9phfXTFKduqgzpWaFpvFRX/AW5Yo+fMcFJQN2vjt1nJmWX2+BGZ6 YqMiCWn5S8dzWJiqmEtwLQlAXhmr8nGLsO79VgLRiAe2j3NZgnRXNPBjVoRAVpn2/YJcTgV1A6Co 10BrjYZCZJqFPlrMjsHqSL5xfIYGCIWKObQbnqKs6UKP0DeEB+dYhleOZ3JBXLIOi6H/uAfYJ4PT F8vGIYJt6CIMHVFmkv6uL9iq1ZHLAy2I0L/F+wTmOKiWilUjSYd4P8TYkVWY2nv8TYYIjkHDTnQy DzbAoNlcVpTAuhWxgpILLF1zWdl75y4NzD24BmAT8FnASftcGItgvFhoBB5Go6axaJJW5QajTeWF I42oGMsKMJ4soS76cUXob/B87ECv+SDAGsIilqR54wjCPvaGsBIaufLwKeH+RT81A2MWoXeS3pmR OUbaBV+PlJn+ItQu6yy2lihjnHeYVKYMU1ZLsFGJFhjUZcS1RHcw0VtxM8LST2mZMaFy8g2U42oy syQ9qk9d6eexZ71UbYriwFRTGZ0KvkIrBjvr1N5j1UdEfl24s84OnfU0Xmmd/RPS19yhQvsuIubS 2Zom8LkH0jNwOZ1FM2M3678unatUuRhxyTUYdavdK+oawLMu2ny9ha0HclueuLB+XE2mItK3E5oj 4hyrRX7nqA8cbC0RyKzeKANZ19vazTPuolOUGi2mQZfzg2zwTtPjX0kSRIyz4/VLmbXwCQJeqIF4 pK5FtX0Z/2Bnfij7lWUuhUZPAkhpggld39HKyByuKMWFi9Y5OckdiYXXId16KrCkDzb2QQ7A4baq Q8Xq+pbPvEsuRtEBR05fu2MB/uWw/7psv3uGvwh8SDPWpI8jREHtAEX7b5u23resQ7N9Wo4pC2dX hu5qzXE+BB/D7comOc0H+6MbPyp+ZQTR45f+wUgBvC7PJmD0va1UdaixDf9DUdMDo0rNmtK6kZf3 rQYLgKNUFlJnqNlhh+COOU+EiJZ57SK5QZNHsP7Y4n0rn18qVcM4KZtoIx1JNJzS7TzRZq63uf9C pQkdklkCqpkGlfg9wjw3EXkd4K3DKgUCsWNopLqKthSW7RXNekfhqErXrLQ4cfw5u1eZs4zFu5RV eiTuxhoGLUoRTT9NzcokmQCi9hcXZ1dGzY82qzyCGv1mZHk2ZdQciJfIcb+Wj4RgjkPnT5SIhkg6 IChwMqA0nwS5MBwQH+QczUqM8/HnBLvqJS0YB5ffH3nfnpXrM22D1O1f6k5NhrcqwSYARx+bmqY2 JoNrlrwbfijbgq9YtRxz2pdJ5owX5Bq4266+t4Nn+0iTcxU4EP9Z472Cn0Qc3USvxTNhxdzmIzUF JwInkSMSqnIn32KMPcP71fQ7B7HwfBjiFS4maf4pLSJNl+SlidXdTcP83sPg2zACIz/5msNWuW9Q F8zyNAYTh9CTwc0n0lZUTtnOcMcngHcKlabUSBNbzj2x4PXO09+kTyl+GVOfw3+UlYIeJWPHLesq J9tE0p/Rganxnn0dfI/1WiKXL2s+ufxSymky+YxmtkkUXcOzmdHratZrrrltzlL1DIgrHubtOVmX 1sxjb/OO+UsW2zxO7E319vOQ4DVbqxT8IFCl3WWdi26AGjPCG9N5E8ZgFbC3qa1gAirjNcVWiCMO 8scdE4lGAaZKKABFcvjChEn0qt7/UNTxVnL3asaRB3P78BTA4JhdN0buzuM8wlKE+xNc+BYsYouQ RG5dJC6jjoZD97LVTccvSSqv75RC0vV67hzrt/5LmDcm7UdMAfyu7Zcx4XE+rj7OEN2R+K13lHrG m6arNjob3zUYRO5BYdfjmklby+8RrpsntC91CWN82K1ADeNg81tmG7flSL7cJppn/8u52Nutvpye sKoICZCpqqpIcE/zbF4YrbNvWlBlxcl2kVU87K/xqGNJPRkB5rLa8MUTkLYX1lcinCPzRrSjiF9l Nu8ZBcRo7gHnbUGs/YlZ4cLvyuq2cBYQX+d0HYc2QyYNTJlsEqhw7eeN+e33V5LOq1MmdW0LwIDd XvbztHjimUbSFO8t8M1St0FtVMmdWlvjhxEi+1G9XkFk7AmlJbbtOXhXymU8Vr2XeRtaGbjngOXR 187RN74pT3TC34pPODrFNL42hNLVtGthLCe75xHIJFKAWKek09aSeuTc0NKssggWP9Wvus4PCFF5 cmT8QhenWsgPacHpARAUuwBZwrRHfl+MfejqFEMnYv91uB8tvBYveBrOZEFLxk0G2diuj0i6TA0o 6LQP5qD515tA4cih127dX8Z5B+jRBSXaSbow+jXB30UcrGeFinZuZ+VfP0iq2ygRmxC3xR8QJlz0 jT5vJIa9rH03c2YOlT+dQE80lwObSQEKHqnMqaj9dn0NZZPN5v6QnifImijab8qx/dHSAc+SPs/4 vrTNevxDQ1YVy5xTJEmHQArczAsB7PtdBvsgU00ekvL/mySA08n8UiWRnCgVTH2LZ+bBXDFp43O0 RWSVt6ugtzuOW08asUCrlzT4gZFlVSPe8j8l1gznSuxkejw7EsSWPpiPnBHO6IMyHgVyN/912caR ukgujXfb0VWvLhX6lJsYoB+wOMep5lg/QbahBxCkJHLqu6Y1v0kZLKkZf2vBQ7Gf5gYPaIexbXjh b++YJDJX/MQnqRoVRgrfku2PJC37PfOfDmMPtqcIHpLFasvdpl252WWKH5gHXTwWxXTC2ID2i9pe IZlDUF43creAHNNAwzYpvJArYytbnQdIjYCa7O3YPArsZOjxZnIuYR2v3DIWQav6R1PQ4p4c1ECP GU+7rUMEPeIBf5isM/N8Y2vI+nd4A+qPyO8EQXNwF8Y5+7grFP75I2PAAknM5a/RTTVfIFw7GxRQ EiMiNxvGVGyjAaTpVUQUUN3ca17lRPH/2QQ6jfIsKVMFU04aX4VjSQqMEbup8MIS3GhGSWsigucy CGTSE864TElMliH1MJ1836W5so/Kf1GKSyT1Py+FXL1GstUN5DSXKyWcEOcSgDUOfyWbO0CLVu9f N8PnsnsjHCsmG9qZs2n5vlROCEOy081m9Ah8cdVRMrV120C4KC6aebTzlproeRyar7NwJPNWyvk0 YBSZ7Sc5hjtmDxAlYfidfoxsp9AMzFTN3Pg7SOFNAM5XFV5/LrQXempkzM00frz2hnR9sOywJ27q 6oY95WuWSEvjcdMNxn1BZnm6qm9pYJ9GIbSUFddxoQ/2ADPGKgOtd7jnPml9Cb1I951tAuFSgWFR LXByyi6jPrdmth91bgmuOmkgCVcFM+FpqeCOyIcf4wEWwjvLuXxNF0/crkDuH7LJcANhToL4TJug fG2dEcJe4qY7L60ibq8tIcSfxntuLBxb49WJHwLBADQat/AxMt/8mIHD197oBzXRgcr/0tUGTReq EqF7OLckUT6rJD/wY9Q1cbf2JydmLS1R2idrQntYaDmaEH69auPyPTMF0HGfgSaj7bPYbzf6RQQO 4gXLMI01vldhjvv0EGU+nI4okkUpU4yniJbuAnaZIZCDPs+cmuJrHDp935Va20tK1ekx413664QA 8j4JIO5GfUqYd+/13YyfWRXz2R5A7cH2C8jTtDpbZRkH+hP6QLP/C4OdBEjHPDmMLlD69Br6R3oV 68q8XzdyGJ9nAXtjStLbLA6DN2njjnGKt0k6V9Gn5d8PeVd40kuHgHHumhrlhyOVJCe9uuBSS/eX ULh6QPA8xxfp9GEySYs3zGZ5oQ/3+R0WP67MBxzWIJFDMhe9DGYMc0twXgAajk2bS68ehahAT5nS w9CROXNRgPBtKV7z9hZ+a1Du7/3WBRL4LjFtdBE3dvw+lfBalZQzah0wGfhdC8LPAZJQ+JvFBmTK 0Ev3F8yVg7BKjBIP2B7CC8Vx7hfdfBOTdO/NxCs4exVePIAuGF4pvAAjNSQLeY7vFi2qH+U2/bLH pyODTARejQJKr9sEnQPUOraeJ2aq+TmP50VsWjdxoH/XFNGq5kHjsvFlPDX10LrY12CzwISdAOyL wWn26gVlvmsFw9xJR5YFHHTcqPlGQpENW9ZvP4u9cgdrlz6UW4ZGFYHKLgwllmmoteGCfCHtuz29 TLMkl4QXGFplOtTZJBnWjNLGF9APu1fz+aOpsS8S2l8+lyaNpMy/H3ybCxpPkEVS3fzC1qwuPjDo LLm09hSRU5PN9s+6MwgQ3033Dy/YLunG7o81HR3UkqFwDvrsdB55iYk+SWVzS1B+H7vymPf7IiZr mc3R39u2AGa01NNNlCLGpulLTs1WFyXWrnoxg/AJ4J1XY69yhxxQaSk7dUbyLtEWvSR250dpHga6 hMw/JA0Zb9EyZb2SZ58HFostAEVPtMl0g8RNPGBt9eqoKn9jkUW46yXdMEN7Q3UZTUmFYb7+i0gD pyOugJeOXKu0wkGb5IIGhlKZK6CF4dp29QHHjFunZzoR4ZWaqtHxbMvSqZwl4oUPfXcxR0zcvifQ ZaInTGz9vPSIrm2WRHnmzUxyr9UC9ofIYLsgfomlRQRpT8VKqBE3WkGd+DL1SoHK5FNueIc3/JEL /I76Z06IT5PBnxDRdkeAqyaKPk40nVeOjEmMn9OqMIbOD7t3ydiLxD+tgpfNr3h1nK+Wv4jJuVtw U8lJh1Nv2zsAeLWx47M2OaPy30aEOYBTfcDP8+zaBxPdtPwbOCqj/MLUr4ynFkiFumGsANtUpme4 To5u2DGPHzPlNQUww9/UG+T4bh5KOINu9FyInKxAo5CKMuG8My2wEVEpTOF2dNWi1AQkz/vYUGSs qAlRqjEJPn8xyZeoyTII/Ggr7r//P9yl09kHka0f+xJDa3hDEe26qhxNyZIGkec2hJv18sehJz3Z tjBmIj0gOzwfjlE7mWsBFwWhYuZv4Ht5oL/me8JCvKx0ojpIjSaEsibn8L1e4pmuQC/CbKsqcfOe ri3TYhGlJXKOP85bo/hsFKTPj1M3pK13y3fgZC6noXZAW1eIM9+g5QOVBTzlvieP332p43X9zGsR 4Kf1ztlyIccQeSl/mPSBN/MeKPpyf7pfv4uZlbsW137qgA6Ycw04UN8UdkT3uHdqCvTCEwANlcwR +uFui5dZfnt+zDoxWJwLDz/ocQws6/VbRJhuCYAVhzBgeT3GQtNacqV9mYEIHCmFHRLyJLCbdSUU CPcoq4A0dgIxl+mAou3BovKA2ni5u2lBN2PWDVABfHq2UGEuF1qaXd1KU3UFkx6i19a9X1kbJ7tM VXrn6AwCFpTzr4ILhfhNUFeUS051SV9iWONHS1QjkkUwp5PhTMdbq0So6faFFR/1yJNrncpwte3z y6h6SQ2oEtvK+QgiJQTaafBkr27i9xWoYGs0v22IplSAzE3uhIZFjfbllXUpdIuxnnVJD1f+176T 2IBIe0USwneZFX6oVtlsn3UJlYn76ca2ULFKW+sQGFWg8ijZY/FyKHOwKpRLmtibY+/qeLby4ZTk 8maj1aR0yV7AFXbwk2D1OzwVL7mMPbz7tOa4e8BROoGCJmAsgkyvsfTycj8Uw/8YyLptP+lGmA+o X7Qt9CQEgvfdOrUawlr6ekyAM+i0k1dmRiuVNLLQJFGThxkcwrbud9PkXrFOa5v4CUOU8EMDuB4B 40oqROIIKmsb02s3SbGHXbNwh9s4Ld2MDL8HN3bvYm/mPrxHXlFhiZnGXKuihXUcjsFfpYkIu3vb Wqg5nrkLiQ0+qFO8F9bLKNqdeulNyEOy+0NVzXIoVMrRHQjZdAxHYxPGqpaaMgkBckFPPORJH+cN 2wvjM1kQ6RD3gG9LSroAvleZb2yDRKufb3lEGUC0KS0xLOVE4h7Tdhui8wGQtyAnZcWaFEfObQ8u Ta5xruEezBPZUmu25/jAHogdOTXZ1QYj01EpreJ5LpnXCf37UIiKvDsTcgzoowT2LDpd+88RhwnB 8AaXZbDWSju7dtYk+GTvqD6Zx597UmMN14zJXUWf2b1+5TT1VKQqmcWORypLLmNsxqlRzzRuWWRw EO7iGBWkUZTmBAzjsUgZW7ws/Q9pj7Oxq7QdL0GXTACQ7o2PWoVRAecUqBsCbh1kvjXEJOoTYOwS w4wYot4ds/DoPCCTzwLtS/s7uPLMH70R8TdlfIJiNh3hGXp8LXvXvi1WS7VAcirfFT2SGJkW5ogD DM1/vEQeQDg0n4eIfdCycVhxbj0u8ijZlPwkVoIIFbNIQLIUaRcqYxGl478An7HqTuWDUT7wHc87 j3t/VEOfuu5bMVvJO45jym1id2JZCcr0f+IGOouxs8l5d1ih1KiMvBH3L4JvXE5vfesGO7eQRFeu Kt0V8IIHAwpXTGmu/8fBEd90XjAuGwxo542DGZNSq6VUPBodi42+ZcOwZF/k9KsoQgzIuJZHkKM6 +cfqXsJD4UC8Zj5rnKQ4Ss4KNi1AviuyEPyk45ld1VF34OPSnYs+zKh+th6KpOLlbVb8B0U4k9mp VKh1k695ctm3AM5gbQ9DLes05konzJ1598iAcvq6x17f34nhzlTY1/En/iNLGfbBLfzQLgwcgygz BcB+40gDeKsNf1AtaO3IW3U+R8FHvzpcz07wWBC/DPhBilnuGx3FSS97mTLwNiPsttRkBDH/FfBt wzyuf24zAJzmgdOgQHJYN4mrzu0qYhcc7VFxImkLS0J2yxTqaUV+sHPTJ9X9mAlAGtF6017FUnyF RPM+ECL0DLPGKuv819qSDukmFrfrIWNm2yUjR5msYzPWD22BYYNPhcok5UEbm6jrGuLC4owxKFLP wqKTYlrp9TSYrbxcxehiUeN9wpTHD7pwScz830O6dMq6wG0IKyV74eFJB5uMibMlwFvsK7h01H2y Mvb9bO+qampGPUof0x+FMrv7pi4K3MiE57ZO0BDvB89/sXNdYW7RGLwDT1CIHS2erUrOvN7Nd09b nEybIIeVEiJ/gpMiR1RfftOhAy0DhQQXmbRoGAvQ8lr+5tcAl2M6XfqlSbrN60fqddoW1tyUfSZB lSbdT4jcAbEtm2v6BjuYB/fpml/4SIeybmaL1+9VFQSoUlFGX4BweVxmqen6R1YfDmcigb9c52l1 AJeoSkA65U1A4P/cyBJykVDFyslAPl8S/GKk+8FYWVC7gI6FchjDF3yMVNWcWqeloWdJG6FqCLYA Z8ddoJEc+67KGQyDeMaEktqhxzH/zTr+h0Vp9e1B+QQgffkTbC4ZTDEqaFX+s2Cs/0Qy5hhkoDpq SwVqviX9yRPbrLzDXlPum3Fo+QmFfgzcEvNqzcPYqky+RWGdnx4NTumVUCd0nZ7p36Osou0WnBTE Lvwk1SCGt7rhlH+5zyTPduczIiUHWNONPXuQx/yimYWrNsBiwIu4XVksvEJ1J5CyDbMbJjfwB3Ji Adrgw8IpJ0fNHKh0nnM4hEZz6KXmeJK2aVmoox3RMGfA7nvb/keiOqLgmmqzIP2r0LI1GCZW64s1 bnxJ9EWELJOA7AoL9zXQpuMu2KHM+1IQALc1/CNk6OWjrK+nzXZWp1em7yFv/ToNmI1jgGlZH3cB olUmeNl6mv1Lf2HS9po8uE9sfV7h10yZLsNbkV/4pG4YiElMxuZb/ya9ELiRgqMZdZdRZ10O1J+I lHHhU2X5WOMnSgoHfoZTT8sItR4d88mEnQ3/1d4VGJF3hfPH/yt22m71G1JmgrjIDOJFrZVkjAAP E530yhGLrNKz0u401PJqM19krt+KdwLjEp5zBXr4q2w51ee/0PoiGoXVw5Twl/AEPPdcVqzFg/6U aDMr8aU8m1bChFi7v8Lwu5wh+AzDSfGQx6wJHyMuV3i7JyaAe7ymWQesK3/Sxji2Nn10TLTUcFj2 fEM3a7fxIwzEASWVg3GktTmU83hQttg0K64rE8IrwpREP90J1C44rXGmP5A7FW2bUOdOHkmuJTp2 eRRuf/xZvx25o1KBWbZPvy3Gq9OL1oJ+9ggWtwB0QSxF9RVjGmd1nTQc1oAbieCJjnh9RXZfnCNm DaRqewcwMmL5QhxetbkR/KdXJjNlts5IhssfUAz0xbsJ74iFptTBYo+LyvvlsZawNAVwS4OVFZCA HmC6+JrxsPUsHcQfFC4znXTAkFDZyHyS3o9jkrMOZiZchPdYcWP4wI7c73M1wuvEJgLJC70Z8pft Or6H02aJeFlU9Lnxs9UMCF8dRl2jgNrG09c1DHU8ipZjHKjHena+2PfMNWVgh0nXltM/1hQmjTwK 7gfRPoqRiLfISWsujyCAHYxW4PbND6SOzNMfMP/FVE7kW2AMJ536Ii69lAoLWainsbic7wWhdI92 wouYeUqNmFamlNzmwkWLYE/zKJDQ8jKLNn6nQFnX4KJ7n6VwBNxnkd2096Y6PRFxhDp9lI2YyS4L RzY1hDWEzfzuHCeaPWnHyfdTRfDGzFmyD1Mq+1wJnCcmWpSt5bhbRc1XV7eM9TFvYQSRSf4gaihj Y/qo46GLs0BBWxl3Ie6iLdvgPaMAGYEcJp0kZjjOpPZKevLOZaZkL2eTUdWT4FTbop6Rbivp58IS 05o0hrM1bpeKkD5lWHzTRarg0xxZfywAaEHKB+IaYfgPbE7j+ukcJ2ouQKaN3oqyngQZwRwo/Oje Fgacv9a0eWXf0D34jdxedy5pdDT6E6PMuixThxACR7d85+VCUFPkN2KGR5puRd50uf5PGZc5XTp/ IuS01vlP8UUfpYMOma+wOcrY/89qmgafONDAdtYQ7Qb4Lbdy3MD9Wc7eYZuqrdnvBiIjZ47UpCya x+7Fs6cHEIvI3zcUG0P2CibrgWAyhB/jW1xHhviY41mCgP+Vz5D0GgIK97Fp1D0AzQuVuznZdbvy tnMWD3+uXTp7vj78qIXdDZpV3xfr8Uj2EqNli8ZLthx+dvZ4YMOxu1BtX9Mkan9yQiAomUQgK1PO /hniDwIRqHIVp40ZNioUg05xKVB1B8edZBHDqrcRY+3GEH+0ys2XLRCbLFhUQEpEKLrY93WpFnGH 5PDyVbXuE2CNIj3MzEywVzzyIdNRfnDZSQeydTIZLOQaXem/ybvQx/9CofMABMIy/3Ki8fflg65+ A6bmila1doOJuoVBmvYvKPKD+mxTj0QOn5pOZXV40W+AlCnmpRchIYTws94A6RZWmRZGb37Jivx7 frU8rwV3u+d32H7/TdUKYf/TVJcnAV1pnTvg4B7qyGI4knmYfWHdFN8X1i6ZHc1+mGKvzEoiznA9 5tqlGk6nIsvSNMbKHbRWNYxrWovT97OR4vwjZ6E82/m3EtmS2I3S+PKxBN05T6opNVv3SqQT1Cb2 pNGbASxAJIGPB3TRTgrq/IXasjCIvgJIdIgIvddZIkpoa9haHvU0nKUy/5zjecY+Ao+JoHLOuhfb oWWTUQFpTPSrtX2jjm4PksHHFCvE4deDLUYm84jFJCJdKnmPnuhQpnLLNpDjEcr/cm4Kb9t2gL5t mAQY3/gC/riRoHFJgFjpCMclNLkHgLMF/JIgvlsg6sz7u4FqQvfMQ1BldE6ZVlhBqNypw3ai8obT 3XNRTGVz2GHbd5UI+3w0LOlnFJu5QwnT16eMF7gg5AcTlbjWe2QHOamhUhlEleQYfSSQW1XGlDX9 C5cyqPtzcXyxqSc/LaN0bmN5mKAw5e6Svc5chsHyY7g2R+jaF3Cx/ZH2LiMDmqeMXYtnQsFI5Db9 ecJJPfHc88DHQYtnQFXV7v9i3YslmqJ4PRK+hNxqHAFR2hIzYdOY4DBHrkrHdvA0/8JXijUIcL5y nhcHLEZeM3uwj/8Tf3Xs/uZSl6E0GmXvriQxFn/W0bC8KcsmJPyznP2iH39b6RNoR8TgeCXybN80 KUqaWxqSbeSVc8QbDiHwoaBK4MIKK0Xu9vRDR7KhnwFBr5u1U2K92oD/PPQVYMPkcrmNHx9KSR0T 7ky+NgQCQ6s0dtTotqMT/E+7hdaqPPPdsrF2Vt2Vz3yKq3VmQCdPlxdePLOYUiySs7Viyot5JZYf IjMmIt1S4gMXUY8pk36Njfvh6MKru7cocjY1qGZfiv6hGRylro8H6Ru3A/y5hzx9UmHBnOD+LwIc 3b1Ar1K0BT4GjhT1WcYb5iF8JDRNuMs5hD2BUBjFM+kBsV4pctGbcf6srnazhV7a3PcZjU4wf0qH DFUk5UVMHgxZ20VRByq7s/+jA1TEjhkcRs3zTLc4VBBkrUe2PeOeRK8A9xcDaDQGdWI2CJVWUtUe s+Se6g9jYlmpoLVjTwPeyL1yAyj/z1I71saT/gndNUVYnr3uZeMDbVTiY5l4PxMu2s5ZrRICPmWq sv3JPVcfhLoCsCvGvW825jAO4ANLMswG+Eo17l0yARs2czIbUQCG/YmR1+Q1eDWGjW1Y+6JQQydb 87R9wyAD3iVgSL8Xusp9DlFuXcRg700cYSLG8QoWxLTp3Nut73dEMfFz9vfkNh4gB3omcaAahXLq j0csbGCf5/DduRj0Lw3fTSsIaSygbRuYOSmWVsP8+gEDVUyjW8N6uCEdQunK6S8DdMuid1A7YFyu ZEYT1GXMfQvVHxjqYp2uuG33NTYwBHqR5ICRCu2lpGr3a8WqKOIZisBKOQKuXZHeiq0Y+YIVZvng IkMZBjtnZjCZ4v4QG02LklWM1Gb86CNpXm1CQ61jhsNzR2dNNBDEFOA1wrNB2RYJKYR+IW1vBE4j r/aTPBtWvbd3FIz9vqT0eqYr0YQDjqRrgFIZ9DyLwqWlooaNs+DCEKLLjT95AQEMM+bNQbvQEVb3 /s+NR2r8PgNTGhdpylk9AJ+oxX1ebW10EtpvFN0mxkamcGuV+trYm/lvtkzB3XyLSdVqNujuU0wC LOcDKhOSrEtO3pk7IZ+YFznml3kRovTZkt4m8/SZFwWKlB1f4+UN9at0TXR6rK5IwE30KmOQLLh/ ExtItt+mH9F5Hq8FI1cB07+/M2ey79QdKjWtgQvThirELGzv/YRX5khpf5ksPp5/iHKe4Xu/sCUF IGA+kFatH1gEGcRf+G6Ghv4TDS5FI5+KdgYT5gf5xX+OuZbZBGOfG3BfL3a/Qoj4Ut3mQ7AVlOwd 5Bk4tjN6jziYGz0NzrJ9XH/Fc7sdIvetciLV4DzJJC+wJWobr20G6t/4RpxWS0d6lDUxjmZxK7Sg L3CZT5uet2b9EkKXRJp49yzYOsXIZ2Gkwyk6BCKxgfYU3TlW2gDCc1cqfPMRAEVUzQQpwy9zIx1/ 9K1IbRQn2b50aH0nebYilcap8bgDxUdFy2r5nFnDw2zLol4WB6ioXHaawCAAGUs0ChWhV6UrJigh JTbZkv3BJI+UdqI++4iP/dmgzDci7nWTG54bQouO/ZdhkwdLHqoEaeydQaWycfTWVHMR5nofzKfi 1vcOxwDfU7pAwhcgiDMUYlQgb07Oxdp+kaCXlLylBpeWNmH0xTUKfcuRXH0mUZahanuTdoeSEQmJ SLxMCaehhtXUbxzhmsaGeOb5pL6PyJRUAZOxkYnRCwFuOHDZVNOogXVIfLNcu6MQ8C5tzfiZz9eJ GOxkIzqMYjK6uRI5sIiLS+v8qWw0qSLLKGLhIKytALyMgIMKdi1v4pjrPv12R3bhteN10C4y1ASO tKjWvflO8nfZ6y7iGPCLD2vnQlUGLoyWDhdGGGs332vJeBkhh0STT/tEgVQD72QwFlRvy0eP1uUD fmJmjrRLFgmCtaH3JGwaK1F8RcYCArQYKEoeiUSC5hrTm298kPICF6DrlyUfYb2eHQ4n3SY1YG2g 4BlX0YHVdLNozSVJrHzbuwsYr1tiRzA4ozgXuMYql6m7CXJYQ6+664ogz+J6Ki6oKvvq6stAwqub 9bIhv34hwsnPqSeHhyewsteaGxYZ2EWlNG6zwO/eQP677yGHpMCrQ9a1kkc37jTteYV4hE5P0LX6 /El3PFYRS+9C8OPiZNQ/HQmXDO7PWzRENOxGhkCpp0VzA9xuG49pWlkxCTf9OJAyCjazS0szDjg/ XqDMpmfSHKYMDoj6KABTbM+AW9YVwQovkPyejhlhwfsTFNfeqYakLMDsQLUWi/1uNmXNH5KSA7gx EqP66SL5V1IH0BTCwOcfpUvkv2iSezu/NHYSPLVdjNYXNwNZBLRkd4ZAkBXMmb+cPVp5HgEN3oY6 sYwj7wqzYLC7AZyy8PdTb1qNrsNnR0AaLxTlxCDicPDF5JgvvcEQ1WnyZsniKPnCsEZddeEwqlnS 2jLhlq5uhftcttFOGqr7WVYb09xHU8NkeWW7Eyoa25u1lurPwjYV6rIsffZDxvLxvp5rBqKlPt6m uAHw2rEUWLfbTnwkxlug1tX90+VrU8aJeEJDy1sWt/41G4Zv6nnU/vSElNfj3reAaQZ88MQMnCwQ Ya9YU9UOzWhIFgPYBR3r3KtH1XmUVB8znsPZQBY08f3IcomferLVrHnUAEOPH3zrHtPGjpbvTAQs tdN6rwcBT4EJaidUHvzeP/5vHVatUK3muWq9GR9t/1HKwc/siIsFZEypHf9fkwsK7SvOCEqNfPUL k/fPWI55cR1LnZflyNo9WlzsvdX4CHWC6BZlQLzkgoM3cJg40uZmmPhiC5/t1RHvIfZxNuST9yYM fVHMIXX2iv4AHaY73dyciIlMziigYcwH0dllRMFWUe2W3Hz2CjpLJDRV9kccFL7p0CfuPH6AiCCa y6cP/Qmpzlc6v8gpEWEaWjkPpcVduzCPhH1rx9Xp084XRfpubi+o0EfbSrLlINuWqa0kKUG5wlD6 Bb2Z1E2D5e5dh8TCjVgu2XbtAOa3wfF4phOO/ox2LHAHL4S7/G+FGes/lxd9SlZI0YR6zWpnsjrv WApAsbcQ4KZlqiTxihH83TTIoOCXsJUMvAZQnAu7jL8NH6L9hmpfaaK7QLLlkn+v3xNBorWPqGfO nJQvn7OX3aeUJPeE6ngycGCCsSSMynq9bW0llV/s04+s9+y1bR201WQ0C5QegjPBb921eYuRTuaV vDQLRibroAZ2DpFbU7du6pTcyzzMPzVAGKUc3Qwr1e8VAcXYjlRmnYFFrCeIgX5wLfMomjAhh3yH 0G+txiNhfHSPKTFiQBL65MiH2Rp8AYUMQLiEHKnG2boshzLxoBmsgqTcH00phlUP/14mbQUqWJro yHBWjtK49URp5XcuS0+9FBas3OV+gmo0lgfqs2kx7TJV1oGCU6I+HJ7nTriFbGi4MHUHGbi3erVY mmrhnOjoZr7/nAzJ2+1s0OjQo+J0mcSUU7amJ0mOSqK+IwjxfmLxIccTTM75moYlftxgUCAIko+V h7WIl30NQsDTz6t30hg0cG2ADt7AJtqauIA+2sMPqjrNnQhQjolviXKV873EaNaX5YDh38r/uleG L+yBd1GwcIniQqa7GISYj+wL1dOgEMuBHi13pcez67uHe/lFqbMZjNTQP/eaREXZmmJ8dE6K/EtD R98z7tbdTNl/MN/8Mi4o3E41GZp9XfQ9RRzVc+QFIOVJqcOIb1nqdtwpZuAEFYfG6AXjcxNUJkee 6qJ2jyX8ZFy+CCOaWHKHXubz2foZjo2hqrMclvHvgvZ0iT5y/pjVEQqAUKFbRnGPXe4HJXGMaeAF dc1YtXSx9bOdV5r+UCvMfhY9EQxzdmpa72dggesu46YjBalPBoD79ddiWvCsKhu1XIfHLBhuPz10 csXyHQ4jGxh6i847BoBy1+Q0343VQKmoeyfLR6D7aTuON5SWQ5h6BrjmJx51SK67JHeDdHX4O4Yp DCj44KuUoSF2LgkNs//LYGQV/+QXUm1mM8sbQM1yLh0UoLjt67dpZyTDC0Ls70ezbj6BF2c2cAnD 2tMC1E/FbEm19ikuBAMHVNYtyyLa615HAKNNUftxD29QQLV16IQrtWwTt2QRkb3DhDSaCo7zXPs5 yGJ3u/3BUg6cEbaeShAl6Pg0RnYMvLBE6in92wZhBG0JbuTC688oqD4NC7oey8Q5FcFtNXJvNVX8 DOa1EJL5cBDuKWMoX4RtiObOaJVneXi0elbeMsU1oBX9ZF1GdlHLrVYAFO9xZbO/k8K77k587m32 Ekg0DAS965WTkGUY41W5zPvw55pzLbQfSo9E29gdVzH4CRRNCmFNU5xNIJlxa7u/31R28yp7Dy0z HpiyeuZ48AlGgWZSFZghkf2tohrTzfqxP488wCzvqKXwEjOkQCCCfbRyLZGliox9ZGaPQ2nfEoel 8z0xqhm0oaOF5LSfUeHy4QTIIMx0gwmWYrg9I2MJ2MGVvXo9mb9fTIUwuxoW1MdxZEegmy35J5yD zSEV+Ex7aGs3NPP74/tesKL/jxo9ZfCa+GrN1w+wAA2jOQ6kkpIA1fl1JOIWnFaK70WKl8nBMRbI 2LfV+hCiZpIcgCugPDkuyFfojaBu+wmRhjmMSo5W5CtZ7WRAZ7olNjr3rYsjUTWfnNqbmfpztw0M C9TLDhLET62BCxU2SdggW1siIAPW+nkpHnZWYHzBcdkov6UGdOUDAk+vSrRLlgwDsgFFNdkzaD7U EJb9TRXBj/IWNffPZQEUfwr8ZfgrsXvTyZv1RJtP8nOtKn5Rb9VK2/3QTJftP+KIBfKsl5gR7QVs vKKqObPUk8wNRawTFBDfGyplXldchHSshZE6RHgVn4+DTju6qBx7btJAhhqGP/in0VgpMjHTqRVP mKNjbdszSLjvoYcgid+sJAWwh5gG495iLtz1DB6aqTE3EWVAkAUeng2IxUPBSHeGs9ETpFJk8299 ptGhsXqSCex3YYbXRb7SFsySC/jgUuiQFlwbTCoBIpJe538uN722Kam0DK2Nc6F0sADOe2eZ5WTJ QQHkXl/8E0bFzL8lOCS0iQntiDfmJTWp2QujK2hadY4I4wcsi+Q7XjNF+SRgYsiU1hcOMmzkkQ47 sX5njWNOqjfE0fs+HUInuEtne4ll93a5xRH3B6y47PN0ow294P8Er6Jzkh85D1GXN7uTHz9Hqu8s vbSPDjzzv5WvrJ5N4TzwpHYL++18i3WFmj39f/UiCZqLPaBiQH8pQQkSUk2ctWSgIgfwDJsMIik9 kbKpjN56OyPO6/TZk8/UHAoJIKYk5t1ivyN9THW/fqEsxL/YXR1GzRnWAkmzhdKHuE3/EJnjHreZ tjrHOyyYJDCcJkGUv5g9rdSIKkhvA8AWiJA5nz/+tJeGT0db0zHu8elCRrp4kJnGiCSv5XlZDqUy 2R7kuaFhPFQbI2IS4XunHdTImYBQ9wjOs6Rj0E8aPlCYQqgQY9zF+fVyGLYS6/YnmCZ+P4Z1K6hN P1lmgVJrwfWwNJ1FzoVJdQGB6z5CakuVdRCCfcWUmZocmglvpw05xgi5RFQiXcm9BhNllagjMdDs cx4l+0HBLsy+XYnLj6yHDfoqoGLO0xMiXv1mSZiprdP020otsI3LWhZY1G0CNSy/2bJb7iWC0TOo QSYLx7mB+h4++XyS3XFKCglfwgD3WJ15NKWvW2oxqbEPNUuMi/S+0jtcYkSSoj9GgNzWhkO7bncm buw2VIdSYK442PCPiv4iKDHb0SAcJk+7wDpgMkh2QbpYnvEIMIuoIgE10OhW4CLoeXLxzohZ+jAs jqXN17LVhxGfDfO6Pau+ykWwOoBDhozE `protect end_protected
bsd-3-clause
natsutan/NPU
fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_16/hdl/xbip_utils_v3_0_vh_rfs.vhd
13
163693
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EAn/CKC+U/pm42S3DpEFSVwzlTOXuRyNz4u2z5zKejEznW1x5QrUt8jy1xCYF7wPwcsp9IbEYQjw Uh0w/eBguw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S+bnY/fSJNCyzmV2nC+yz0CGvgHtAi+wmxMKmzbqchVWqZndCdRcS+GF43CEbEgXUiivUdEwYWw9 qTs+cDgdH/cMKJnHMfTxq9baml2GY6HFuUQNaI7+ThKTjT6lv56k/0+ZEQryX2p5Q7aXTGwPuv2w hFoWrooS/C+XX320Ze4= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nQh0AgGZmFUbPyADCm/iUcix8kEGDLqqnf6loCkbhSLoY+RIDwdH5TCV2QtCCWwt6QBXOOEvUuWG eDfnxMKJZjK5XpRdvuJI5FyDxi7Dj099Jj0az7cJ7KC57fT1tXw5pJULpi7u/whYsIX2rE/FZzH2 U6qE6uhIEi/aDNK1SI4= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HIjB6zmYBaO4N3qi7pHBp/e7FEqttwBmkIc+kAnKEjCrPAr9r5cFPMTQz8Yt3O2vS/d/hP5DtGI0 d5s7ch6t4/b2YNZeU0I3xsI6StHmysgfcujtnl7fkxDNNuLGdY0zkjBt1yvmUx+Wh421uHiHzV9J Vkk+mmjVJmtonWhLUUICJOK4SXFGthAunNaHhCQZBx95U1oH5NbWHGer6sblNB7P0V2cWEbjKUX2 AtAbdzLs3Xo3RXbkaQScN19YgVZtBIP1sWpDy7X0eyN2al54D6ymAyO4I43xJY7ISfAIpnaZbwdG E9P9597B2tAMv1WtYNWm8lCUJxrxajJ9tzocWQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F+VABPdOxl1BquRn6G+tIIKfeDyEnXksc4DNPkVCVmEWbMReDmZrpxdPdEVbVMaHjVtUtnS6qSQR FOOdsTyalWKCmFMMnnyYkpQFth/LeuFYMTwvIPrIb3h7BtQUT7LswO2tItezeTEJmeNhxfneGCtw O9L+/UBH2GNoy7sQ7ysopjsnNMBEZ0DKWjwLYm3W6UQEEPkKno5SPEZU7QR3w5+ZoeU78zmXECyO KDzgCuo/T7H6GqPBfJEHp1cIlPWM8OWwheO7/1hRB5qwTn9pr2s8PUT/WYqkVpzEoWYVz9mFmfSR bqoah0Z92xOvTXdKi5zzagwNB8AJo3dNooI8wg== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lC3r2p2u53Pp/Zwl4BHCgiMHMbcE0jlB19nITLT7wEI/F6ifcWYrKaJY5bo3S1chjj2D5FF7tbJz +27ziVReWvPUBIfwKhMzJckIvuBSs5lnHoVTw6GovITOZVLMDrun1tab2k+6eco7Budo+sr1nVk9 BvUttx2+p/UWGm4FgsYIJxmSVguFMw+NXj+t/54Qx0AWkt+P8mFramJ8yz95ulfYK9rPMTJ0AHG6 X9oRrS9+D/xFBwFfnE8LB8awWiS0QOXL5ARCaZeaKL011NjbLUpx9ZCXhr7CLRTcDk1s++5Ub8WD uABkLpq2pB9HgQyCcmMtDYxJ2P1Khyka0ZM1VQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040) `protect data_block Vp0znxXrS+9IsJu7C2DKqGhdbtlVXk349WIpupQ/gVbpjk9R5LtWHsYdMLqXe/+/SXxeAyi9VDPo uau3PebrMzkiRshfKcCRwqbVFudP1PV4azuIsSKyjQ+k3/qDW22i4E6/k2xhiqilf127p+hlyhBd yn479d7yWPS9OaBWMk29hgMrzxIp/wn4KFMP1mJ4TBRwlNWM/amu5JupCtnVg9JMEPl7//63oAHr W6QmMPISqIB35KHVKcmXWgxA+kJ870iqzeIe3pB/ub+Nkx0KweESstzCT01SY995ZzA5e5yg1n+U BM6Ybu16mwMT6f3E0cqNxWhlQ4B8GLctJPPBzYSjh5TOzk23jerq2/Iyh7+B8zbFfNh7fdA1yw94 uGOiy7HIq1cShbY3OkMf0nnhws3/mmCT1GGm0yd6Hf9Rv01GynJUSKzvpklulQaCRLlZnSy1waYL KEoVTD1xK9c8FaKGtinEqxFqnDSjNenbT0keOpl8HWU7gC4OQFjWK805rpiZFr9GGf2IZBIXirOB ioqkk8o5ts+eY73b1XP+zBeWuDlDQrOSAO2C8p4zrJ4TY3bb2MiyxIZKus+ghJ58dAMOHUhNDXjl gYWS7cwr8IWWfEOXQzIYxjkS18Si5a3vrSu4x9h3segft+FsAzLYMwOQo0lUtp2CF6r6vaLJBt6s uYIr08MnTIbfBbz011Ew0Haeew2CoI+Ke1kPvcQau3ZvlkWoSkApavl8x+lMqMrg80gBCp/nqKV2 8iC4wa+wdtiwz6J+UNrlGvRSLcQRCXl/JIm5pjGAkP3wGA2m9mjFXIHv5IALQJzvxoxIQsGSnNmO DG+fN1vUKg0Um2AlNlDWPdFdJ1OeLEei8RK5EgliBJf3amnUC1wpOikv0v5fgC5Eu3K4hxZt3/nr WMayRcdkNfCFeNSE198Jlp8TKjJG8gu1m/tBst4S5FZyeOLngDwFUYy3a3iY/PV4AZgOTL7y4wQT j0zCV4xIQGUYVHS7r5bMhaB2wLuZn7nxcGw719a2criStrNit3WFjp0juwro8/E1u8NCHU8EmGfu j0Q9kCjNi8Yo4R6rnOg9/Yzr4SXLNhPvAaYtT5mVL+w/WNCa335y55mGOatbgGpxbksbcrhDANsI 75cLspHSALhtQ1foy1jB3CInMm/IzTYMUZTLuAFEWKgxuOq2h9pyXKw1hdR6tZ0GrMvnK0XAJmy1 sUKUpCMfQ2If3mgeyA8MTLDU59lusDdibHtYSN17vpn3ZsZBoNnQqrm2QCZnHY0Odz5DjoFQzu64 XrzgujouktWqDc8PQ5aqJnPZfJO2l9AKDFOxX6Ds3efpKJmw0K54AnEAQGB87Th+niOiY5J29IDp Gjl144KgBqZ0JwN4s8Njji03ShTH2FwoFk7C6x6u/S3VC6l5ONQslQoWYqU98RsLQeEnqYwPS58X SpRCm9BA96R+xTJ2CCPYt2sWCsR6IJpMxB1qjqItyVL+S44YS14zvF1n/JhCgoAW4lJH6R6nOr44 RJJPweh1UFgpYD3nA1+DnNLuHpsWhx46WstsPWOO5O1eG6nuztNtUirCmndh0xxzo2x55GG6Ddjw wMy2CMzWxqpUFvxkLDMg5h2uzPyErG043ttDdu2QWW1irHevlwa2RoIQUDzajdQM2tVW/tToyiLX u2lh9QiMPSvRHLvbU1kZ6KDkyONwDiL6yxhd06cIq423xYLjvq2R+510ZfadsxfHOIemosWivs4f 0T2muQMSXksWWuU+rJKK7e99I4MMhjDqfHJsONSt7AuIqVidPkqxWI68OyRcqdJItVPLLEbxw80i Ph8J8eJPasHFRKRlMoJ2HWbVJ3Lljw+qkaCi4ZkRkY7uKEDdL3YL9NItYOvdVcXfAgPhj0uxYr2O oUzbjzlFd/L2pD/OL9d259Y0yE0XCVvEL3uy8hTxSNhqqCv41m3qi2wMFasU2b57o0XkrAniRuMq xQAlBCJ/4V4LTlk1pHZYgUQwPLtEArxpM2CnagCACJYApABd+9Pn+bsP/LOG3opwvVr/4O+DFqbm wVTZfeCwOOaKXGEK/TsJ7nQSj1MxO3mNteoGwJKoX6qSLPFC5QXnSQCDqL58oofx7+ZnyaLl/qec sFwLNFKuXIE9lIGdBToWpWwujUBe5hzUVP5fldm9HoJcvmH/I4F4at46iszVGB0KamWUSPGF3lcQ hSLin3J+x9NiyryNjywaeyOy4XFO0I4R3ox3pAuMIsa9GpK94BlBgnhR/IvkcnLqBHIp4p4FDD2W nuLcrSog2eXHxRphrvyEJiGjCeJunxA3lPFT4ZQAYCgA54OxSD/EubO1c/p4dWDG1UE/Bct+Qs63 LjEl0JuR8uwcJCMZ652XdiYFY9ZEc4mJuT6eET2Cs8u/XIjvqRrWNRYYI/+hzGHWgSZjVubKyThX V8IOvrFUNnmB/VwQojZXqTcIHWrUlnLyawsvJNf9OWyv9zxMmYwcd22m5ybAsmu3+QbS46JJvLMj ycYGYvX+oVww0dfMsyMahh8UZA38BVoHl4bI6Xn7Tb7WxSOHVRbPpEKUzmIkNehQHqAZGzdS8u+i qLhvRxs6QCa5J8qve6bf54F5876nWkNavf2bw82jdqyBudgHtKX+zlGmVVrSnzkRm1jQ+WY7onPr 4IwChUQdZeF/tZIeU0WVmW2IjLbqjrNLIo006amz2Rpgr6LK2k3Zzz+4WPAGpzzpeGHDORrWgQhN +eo5BkbMiR88+zlidbcxlh5TL8negKqxiBWDsUtmmTp8/IkonOc/tOIumD36OewIeslvoUVpw9CW RgD8B0PcdmXCQXLFbG9yZa2SwzV6748mCTxb+yR1lcQF0kcxqGsACVIhZuLrk+yYqWNq4+5tBTko +xj0VNjHvs/hTazMUVek2ZqLz1ATupeXifozAJyaOi2V+VQNQinp1ernyIgG7DUSqP3y5yzPueWv gA8C7LuUCjvQSr8/hhy9HHf4Pk7rUTEOt+EYtfmcYEP4oLWAtNoaX+fMr/0jrSh2A94uIPofGSSZ rIePp15voOCJg3QZYwnZzIJgjwuOAn74o/Zp4UmWzvSjbh3qEKPY6/4gN3CFDvVaSCrDredX5IxH TDYT8ywNTmqMAEUBc+dXUTt6FCx2UW2H2/nJE/9Y8MEL3rZpfMusRDnWaXbXbnl6ZQgj3zdwFRI3 KU7F0YKBgwAYjFVE3U3djvpBV2dW+X12QiwLkf3j1zY0ic6D05mlL5ESntchWxjwzM3stKyjFNiT 7rgii9qN1p/n5M5bpq3/rSP/wx0JpGh9ODkA0P8WBjpoL94/LROkQAWOX6kEWDb7hmGQzEM+ukYc HKm33/CM/u1M/FsRAzjq8VG0QZUw7XQ6lmq9nP0tYa8YoGyk7N5OuGBnOjkJw0CyrKzDz/UNCFIT f1tQpF66HvBDpccuq8kqVq/H1X3iucWF3/2Qd8AYW7aJ1OII+iCZbpxJHNV6VKFvbma7DnJ4bNl5 7eBGsRv42oefyj57xpFEoAetMqTVH6gQfvPsNoKBNfG+ADP4qX0p8ONDyytMCI4/1FOe1J1FuwyP Uo2TnOejH+tsSLZ+e3+HhFHMWfmh4T/Ahjk6uwIb7a7r2njZoDUjFIja7fsEcWwk/eg+SJZxplCY qEuw8/9lafehiwVxpWbbNLJeAj2nxe8Y8i7Vb3hu1hnyyYkB+HFkj+GmJFbHTwxXYW7co4PiSghh YSSHrjoRSlPPW/ERWOPTjKzELGpKlvohOYloDL7TUFvJAYBiUk8h/JHp1o3oJWMLem/sVQDXTDL2 rw2ELhkQB7DFS1PH6tx0Ure/rEe4MDWEgnMX7BGcKTBWYV5i4OUHgJC6yC/O+53d8TWZAb/55Dc4 vDhdqbdPtV1t8H1h83m0C99gwY6Z5aZZGFvSJRTTDz3KtnIEBUgSSEfoo3VppwU6rFwcjhcxKJYc hyx/dIg2wGBH6iyadX7DollZO1DQhcKmMDdtPRLhcEKAsBd8ADPqyihuCXy6zpVd7C/90rGyu15F owEYvPU9zTW3HgiEw6WyJZFDFKyagZJbJY1C+VzsCeKN/8k0csRaG8Jc6EiXdTETXtvggGyo9ld7 MhAxSSzAxa5yDj5YYFQ0YSlSI3Cg1EBputrKNESbxT6FfhF1lJljdvABh3tc95hutwENrIz/cRW/ jtvggs8TZvgqXk6BeE920hHCeYTh9KdXEGv2X6wm8bWcEKZfVS4j2lBYabx3W6soPHBBKyBoMn4V 15auUk8yWSAVJlFL8esZu3EHRMRpwUzAbRpbQPfL4Rb8UQJW8KJizfUu5zYHC0KYfGzu600ysuFR kdRHIa8Zqpjx2S4njqAmHaP7wbIY8ZQBUawFu/HtlCiNB7qTkauU15oDWTfSpMveYvv6h53LojgM 8X7fFL7k0hVotCXLYrWoLH/k32kGRBH4woGTDT6I6GOn57OlKBGCQMU703P8lI/BlnCkXGz67dLM 7JVUhN5sO7xEZUpF/YscA/Xv3XTRgipwxBvbsFWECPN6uuAbOJlCEeJGrSOwX6YWW95UxFd0YRpt 0zCQpobZY25LUsFjb54q3Z7mLSf3k+gsNwZm/gowq94Q4PDv/7fm3m8XbsJUXMUf0eWLTOt5foYx 2sC6d3TzS2y+KNHJlBXtWVKewnLwiRxAze+6CaLld3p8Z+ETopwQwHFjB12BmFUPW8TaQtOAb5PQ BxHJm7Uru1mBUfCBAD3lZ6DSVazqdHezYK91J20RdkJwg72mGMrQKBfsmMHdSPBvrbeE4iPd1f4c rHTIdEEx0jHHOGAuXZ0zkrHm9uqp+DIjSsldvWGP9D38M9FR/7L+7DYy76YGUVbtNcUX/WujuWtp t6xHobJArJF1VLAEkKXgNP24Jtcxqa1m/yK8Z9RrdUn8/DFPm1HXXi0o9mZFUhDOIb+3JcxxH5+4 sy5mhNFRiKutUpXMEQHC7e/t5Qdos0PLH1UyvJrqoEHuBS0jyL2OIXLoY0dZzhwl0X634eSOKWAC r15RoKLrLIBJs9sw/yvxkqI5HHooZP1t1nQsfHxNhJ2eK42SFPon3mRUFpooBl1kOOlZpv+UbY+2 imPKO5u+Cu7RXeYoAQwVSrwO/iAwvNYddi7SBRaA9PkifnOVVetoPVLeoO+PEKJa4UA8EZ9DkWQY bIlHx/uH1OBp90w3vZqA0jXNoWeneUU0Zj2qt6R4bxLwMHO8cCwPk0PND2SGoPQyqQOS8H6Gud6H J+2dZ3RmGEHw/MHj8lL3QGFQtyThKGImR2wU0wKgs/H/bnWL8yt1XsTcC+Pi3gD99HXJjOCmebx4 rUrTr86EUPnlQu5FpMgJ+pvmptBTSXJIDjchcc/tZSoDhMjOX5pNuVKDllttm9pREaOYhT1PXcnQ R+t2Y1a3rvNco+vZWvCmgA0iTKqoBaQb3jaeT6rjbpQtqHmE/GCxErisrnL7phc7lZriL9FurTvB k4EPknkZJBVU1xfC+spkysKfPksRgf5z17mTeoNXhA49B/lFwxjAKnOuLl7PbxPhfRnIYVO8jQ2K 7/Sb/D1rgIuIWeZPTqw1PBGbHSiS6mn0tK6ydwjsKagIy9Txnhsb1zTjVX6XOBeyhFZ/ovZzCI4Z EN30PR1oJh10PZ/SA6fvbJKAN/bk9MqaTGRVMYDM4EPTrd9atpyY4PPXz/JWfzCCD5T7c5YgsTL0 klwKHna6nDEraZwccqY1E0yhOMqdNwRA5KHkrmKq6+73WTLVPhK51BQjuCIFGo4czifYVG7YY+hL yUTaidNPxn/GL/cwWcC5ytHpGVRt9GF8jXQzVDrr6o6qeD+QJDA0LxBsm7si1JpBpQJIGCHtTs/d y2S+FRsFGEoM8VNxbq1gn7ACs0OjmVDyK5EdGvSdu/JCDnDIqEdk04aN3nrZYALwSZcKYEtOQ/Zc EtGA13s8FRNt7g4uuBB3nax4Ev4N581/JwQ7bS0L5InxasNFRVJqJ43MNZAnCz5G8VD2DMiYMsVY /21Yd0LSMVf8hzoroEND9oii7I1nQjblGN/kohKwa/l0XBK12S/o96ofbW54SfnLNAHKpza62CY/ YYtsncFaEkTQmbKnTCAqpY16epIfcoEuvJEu272xVwuP2ChQ7F8VjuFY4B0ymt1tYbMzb0ioCBxr ce+hzewfZM3pAAMZSArTWdqFTFtjbYmdzqRwc1+2U8clIricZ/ukVrpizcg+dBo6HWP4wDk0TPda lZY+vI3WyfcRKEhfwZlDQAXDpEl0wQFoAWSXab/aL7HSYJG76+vJvpbJFFayFh4dV7ZLYKkEc6lP 6a1QHWhmPXwaGakNkWaErsgcSBDBmtaWHj0C51L0ZfqubRKoPqYWTYA4ur+Qpoxf+uMecrff962i u/aq3JbuJiqFHj5+1gvM/067qr5VJfh/3dlOmJlrJOcFNAB45psur6x8A+bJGv6VMOzCp4vPbehG EQWnkHOCCSWHTC2xjjt7MNCxoaA0sZwlor/h488zwg5gsHirkHzXXJ8KvZnTvl1qOlHJ3Wpwh9xo IlMgB4jc5obCIiKc4OEnxx9s+XgVxF76nsQItOfv6kqgfmFTD2zS7QPq14pfKwhDk+xp1YITnKvZ n5pZFy8uoWbJbUd2QJCk02qWULv8GHo7LP9AhikX8mlCW7BAch9SwdDpHikUErTmxf09zM01++SZ hQJx1uISad2Jnnxk/1Bt45FsQNCI2txyPjQGh3j+tgavVhE5gGs2c3pYv2eQqezHVV0WZ+9yKwNp PtXm8zACDrtbhQ04VaPek60GRHsNP2nQylkF3njDJNhLQbFZ6sDmEEFbiJLVvoKeOu88pu4mfnbR RIkmsE6/Z1L8QPi8d3VCvZBf84pow0q6JRJTuIRs3/0cwDo+Ni7hj621s+GapAiOokVMr03AxXy0 P5mnh0RKMnZkhPOfd4lGFETbXkr4Z5lyAIgG0DESolQEJGu1PCQuFRcCEeKqkFjZOX/JC1VTzGH7 z7z801NNS0TC/SwhwGpkRfhknb0fzyCNAtxd1A4Y9ogCnigpfNSEUG/iYHzaybx/vt0Cxu3TTq/b ri72kBrc/N4IsC3erPjxdktmQiZPccrrx1P1S8ew4uPNQXzQ0+hDs1c80l7RhMIKxCrXDE0rq6oL d8p+YJFuPljF6i/wp5Z+ZzzvdQ3LErj4HXiMCYkgkc0Z982A6NE11nbaJU7jzQUuENKeKuDqR+nW MwKIUCgEW4wAM0IN9LrnekvnSah0tfdGHm758c6YbYQELZkXBt2BiPLZj3P6G6RYP/L8TvlXn9/l 2noA9wk1DLONNdeF75rFHgrFXppandNQbuDNJ/O3TZDRluw3H0U+Gl76jx6iZ2E1K9m75WKJpth7 x4q99RapcJUZ0cvi8ICN/PsGd4sasqpMNESCdEgle9yGX+PaGv92NtxglFqhLQuOYtRF4gDvUiWd bNbj07R+vU902QxnQr2cwHYUDjB6izsak1bv4aCrEjh3/dWtF/RISM+QcV/xfhqU2udWNKMiD0Ul AZdU/2GQkAIwdSckB1fo7n6bKbrra2S6SGScHZSb2+AEm6Xsbtm4ZI2fTSynRr8HNnvPrsxOxCln W7j4S5WZPLgqZ0TZMBeXhBG4Ssx3ymsdMUZ+XonQxMLsWLKXtY9hK8aK/haLCWTjbP20+4EELVy5 uIy06fdcieB69zJGODAqPVnoYSi79V+egU6xjiueGPOYzy9FmUEsanHGzJ1xe4QO6MM+Sv5bmH2F okpDkLoB0jomwn39ysaPLtazopfWxazgBdueCE18Hvy0ordjNt3GBhq4HVgZgxq6al1A0+WN91iF J2tR5mGtovEw2c5tlQB3XMmOhXPWOXA/XfMkOJUTELBVdWxEJZnHQeKOaPuXgCGiZfjf1tS7gEiU fLqSueC30qmZPuxRYu4Dmb5nnEg3oXEvVpnGiDrfa8a8DyrCt0ejAfEPlsEXCw+8RPfeA/HKE9Ux IR+9aNPHCkLCOAKhfK3G55q3MEY7zSY/HK+JQOpmKMubiMieYKe0kmoJ9CiGL/QXHnFa9Ji41tAQ fLSZSEM+Z8ejYoYMCzPuikejZOebb6VOjyVLSxRxZi687TseOE9ZowaRxtEIf7M6Y78ZBAp0yD15 KYKz0b5nTysr67bfbIERS0y3SxnZ671Cn1vMYEsrrteVOt7iTKxpTEO5sJAeYo46ADDT2duNK4vj 44CSjQ4oLEu/tgB9Qk94LBlkoaNO8J3IeZuuaZeAUa+y3Pu5rrgtSzX2unZq7DK554HUNgyFbuK0 eK4V975iegLPiAvSJvkUxbhMINhtAYCcVhpAZwFUuUKswz3ObDNL/9UvRGuspJ2ik8FubeuZrJld W9m+IUkLF+Is/m18rzDBBMsWve4OO1ssISTY10pOyRhcKk7WNTFzzEM50ghj5ytfP+oxwLrUEUbp eCHgF8aGf84aETI8xzQu+RKF7b6MF3GWgqzECdN1US6PlhQmzFK8T1/jXBxh40m3tHd20oguWJ4a jln8GfarRRFjDdb679d6RliosGkirMVO9uTcm5gzLB6zSo/9ba9dM+S8/Ztiet7B6Zm6iCjm4s2A gZXD7FnwefLm7p+DOwNFHZHwaTjjDwjcv1O4cSEOgW70/CrwiWSlBQYSHXWNxbBNH1G8tYqLjZsA p9Hhw8P3I2b2fbQkoh+CK3gwAC3p38uQiC4rgIatKhzSrO3xyToStd+cS/lOcyzEU82qI/TGzzcF 2hACKxmaxQR8ECas9F5QQE18Sseil07Cy9dRyDLH2aDUyz7mWAXghfxObWIUAVTTERr1ArN591Xt EkuFJohQuetIADa0BZgxxVes46JtTnkIb3oAR58xqmuxCW6I8f92rQDPJoUJpy0Odi69qahpW7Pu fjO0c+b0e9lpWBfvhHmwfSrXEibamALSxI7YFCzP9mLV88/KzEUe5HYh3Q69EiHWzDhQEF7ok5hL V0mbR6rFvPSah3iyeoLqhw1Ayn+q7pJev2XwqQfQ9KAhKvQNqdcCtM66g/Fo94OvPgpalhSkC4yJ ohZBXTxs3iAGZmI5xoMHIrWOKAUNCGFAQFOsYbAfqTeIaAYAmgqZzNKrc+bAULRTjnbMJwfcrbRD TOyo6lJur8aet3kO7TohOGNI2t1W+H5NBDMyEBIc9DXUfIGjlMdoY0qSFs+SWwh6aGq+NVRsHHGa whUhIg5gtgifh7QNzdHdDdAOi2CwF4bqFX8B0PaWrxOSsdhWq/vSvmusTiYrtuK20LkJhiZve4aL Oq0Ysn18Rm1+eGmJA70/8tbmWas+boYhdvDtKliTErUXVuX1Mlg1l1LcVlEcdss+Ekei4YjTsuPD NPCKHQObwhxc8OVEx1BWYJpU6Sfgt4YI7TPSbKra7zrY6D5P1Lnx/0kgilK0AdeQnnB51KS0qabp SeQJO2pUZxb1hWx3IeNXcbMxlm39KCuNcc9UKI6ZLnepxXlkx4ynmCyp0dWrs+KJo9KvGv3i9xnW +7YxFHtwoOny6YsdoOuLcQ+prg/g4ZaAweYkn2ypzfjA9onyCZNQ/04C+n25QOlktPlQd22K2HC9 QGq1Pe6zltTmoMyhhLvEFJENwM6KWJfB3ZlfJJXF9TIyj5cmviPcs4vCN1rqMhsFJISTaeEUxZdH s0SxBDJAkf0JiUojkmpy6NR2RoSU1qtAaADAnhuEOjuddGDF3NAy3+GeQZRAibyQ4MccFOo3yOah X54fan5bIw610D8A36NdOeyxdshVz1hQUHbktooTGefKiHARQ1hC+SuFe+SCs6zMhOo/7c4W3W1f bsu/dbg09Xf32ocLE88M9kHxUlDdpEB/Jlu/MlTCZSCftm28LYITeRNrfjaZpeeK/Qoo573+nJR1 pKpF5E3Fk8uD4vwX6Pde4HOlpyKDdRv3Xk/BC5jMasDiY3fpXKCP0PHBn6zTmtKGujiKgalPHaTA mlWRNOF8kBJe42bOnPUeVgP9XM1MdFXASxxA7nt1BmLS+rlzXQ3e96erVw+cLwy/aZaIVEoDL9Yj ZUMeHsr3ZWr7l+GrSYi/l3QylemGdMOLc3/B+rQmcxI8JzFKhZt+tK7bB+8iVX38JsOSEWjZY98a MLOLWbS/OEQ/08Spa4cCfsEsqP0vmY4y/xecBxnc+L2YuQnBtmdADbCyIvXcrWxxQ2RWoemm6S52 Tx2mB2IarH5nBPWGtd6sTjenm5QlZkWUzuVdNItc3uSU3PLJv6wtXyNwOyZ6J6x9UugvvZjh30WL fcD7DgC1GRLsBQk0IH/Ll1Y2NAhxxNepQXngs2gaLlI8P30lMASyISfE18qIbAjmnvwoSvmU5EiD m97U8xQVo4MIN87tKBNcuy6WihjWaRptEtJD86cVrzblDRCtHaxSHZdo/oiz6vO9ETnjDZJDpWac Q3ZFUXLV3/fM06qOLeFyrldEDSmcVm/JalLulbkDH0T5ANqMp6dJudMCim2+8io7WQSYdJhSvYdE Y+2RJbYyXcT2zVRt5nTUZmbGRPhjor5K1odChJMoLhU06VkJFK6kskI3lDbvkb04EtUA3iL+ehwG bb213l2D2es24XQ/gIRfK5qsu2pEQvCt5pBuwjWpZX9pPkQmHhUYvNtecmWkujuIOEAlCfj5zVxB upXVuPqnDP2Ox8Y0WsuWKlo0OdoTPqfEly7MMxXk3Dl5Sooxv1CyFhecpDs19YuJehnzJFdz+FqA fVrC6NeDmHbsbkTutuTJJYZC5oEB5JSef7Gbaa7xXKMg8PL0ElJ8xGNhahFAqKOBwU2ctb+itjUY loERjTn9XMfl2SqNtuJAdPMjEVh/fNar4ILEhDzJKAqnEsfXqC/feS0bKpAYOQ5DRVekpXcx4o08 1kpgFkM453oUuZCfJ6fQyophbm322wGYDj9xzh5HrGq1fdyHjZf8CC+0tUzMlI/V2Hyhg2yMi8O8 W8AMPg34Y0bdh7iALVFq8BBALukc10IdDZh5dsq+A9xALsTFetVDgjSRs6QkhNoBE+w4D046OwyN e7GHCVF/JFcliX5KABEA1J8rHkrFIQgNjeNNQFO7TrolcPbpBAGGdEGXAOK9ogv8nHS1s5f65aSI GZyhiHyTGybks2kyqcj+OB1ZKvttIy8HCnoZ7W3knKxcmcaZ24qy/tjIDWGTHgzHCs2DHNzKHClI yK5FebWR2lzw5h8yUeIHOW3uHSKIflrDT7E3PTGBphmhWfQUT/UzVdZIOchWGMGNoGMy/M1nmb/g 1FkFrdpY1qwkmlKkuxL6jw6eaTUvbVrRj0tfKbAArtr/FnMQxoY5VL6S8sWQGrKPJHQFCIcCZFSI /9gQKjxvdu9bOFvpchoSTvZGJgAJjvZWyiH/+k1zfSHakquMlHtT5a8rozDmZCKGxG07zJ8p2qHE OS9GSxRbHCh+V4dyDsVwYK+eHZWFacfCzpPJ6zJ08PLxLIA5mpNAFdkACaC3sGlEZ2Hhj/xqRPz8 QHZB3w4NQmwIun0pkXIFDYqXxuFZxmjXPT+/h+Z58dhFfnZSD2T/RRysv9ZtDy9nljt1tU49Bp6v unsEs4GBRzrCC3GWrk88fmMU2uhZCgdMABeErZqCVCt76ZGMitzDsFuquRheTQmUzw3AxhP+XrIs d+BRYarAVO+olNy0Lgagj4x9lR7OjHcS4PpbSIw51HwaO2OdM0/mPwnUEl6JnVHtQtMm+OMkdEOi LMDG7Vfdt3eosTPtAf9Cu0LE++VZK0OcYsbUvSMiYNZKIHhqOwuyGPO1cAM0GZ+WefHHNU0wsIeK 19ZAaJ7cnTaGqLzaNZyDIEUrbMOa0cda/cFh+gEe3EhnM/mvtisR6DZZHKl2CNSWTd1vUha+ApaG KpGOo+3siFuxIrEqNe8jRGeJVaSB9C4vIrjBNHQaHaf9TSBQUBbDFtoOVFEhDIZnjT77lAj7zAaQ 4eLQbHQ63E+k+3LDBwVin17o9qEa5SLfBJs8kSZIXVUYGaMz3rPv8RuK4XilZyUqEB3DI3JYOVSo As23K7VEWDLjoWyuznlwACrsOEOeKT8F23iOJe8KAjq8OxgocKRh9YyfqKKz2y8CJXBWQ70hBYQ+ k1vFM80k/iEEjeuPO66GN/bmHC/HDZ+LpZSe9pkAIUSICWBq5abn6pSbdeuO2ew04suhsDyCNeMK RzmvMgKT0TUe2nIZW6YLX+U9qHBq4eMTwRwDSlu0bYvw5yEUUwy52lLO8DmFyQmG02XKnxwkR7gS KfCdX4q1uJraWQb3jFGRpTAJOEtoSYb7CX/lXPecMPd8HTkq5h4VLxokAatwERwkTP3P5f1WzzWw 3Ri8qbD8oqp+hesGMZUbQBkQIX0UaRkoZI/xPiP2BqIiEWfv7GpkqdHguVmF2xX2l6TLDeHb0Ws2 WExh5IvjF6Nm01JmxGOpoNDnDLEkcGTMzIO8Jqz/+zJvakqzwcLKl0UKucdwH9Wu6uywLL1dz6/G 01GciBnFmfl1zLP/7RH5PU1ZMt62Fb7J0HHM3hr1clMLagcpM2ZXkP49z2Av8NkCEa9zsBV5fSfW rTWZPsFd6tlwTiEQgolcu84Y+WeTSGiDlMBdrFgZ7T5eySIn7qQpPIjDwu4U26FYKBX1Z3VQkoPl DAHVJmDVabLUOOPtFWP6U5XnrBFw9m3d4+WsoMtyWm70Y57M3/Jb7H42qXdTlQNio5trtj1LQsPN g9VDajhtzxBEy36T5SI4ZANWSQJ9wmyf91Yu9ZEUOzDld4LU+vpE4IN9whTV9uLEhBCksM9D3rGy A1m3taxwBhrw1jh20saWW++UK9VqP9JI9Bfm8+hYM0GQmMa2W0VvThiVHe/vwDtQBbltH6DS5ZS3 m9tH1Jzd9OoxXOEQjLz7i5N60Bp1oh8HT20wqTCkPwlFsoXiRqo/AqaNNBcNCoMi+OboHPNs5jGV KPJWszSohMAQjDD0HsLlBUGOIk0A7ntv9F4hq5lCWOySOfXG5ZXDX5489/Fie8GFh6cSf+/K5dp7 m2QNLxKDlo61HJFtnARQCbjw3NX4JrnGOTmjLqxcJIk1ASc9KD+Pp9ydchQsfLlSEzm+WxOnJRLz s8K5z7y29dVyo26zLF+Y1In2ErFxQRK4xsKHdbFnSgT0psNTuOGqn8gu10r56pZAbJ05GMS6+FKy CWk/sjAus2pRo4wM6pP22Udhos/jm/rEfzkXRLuDTlHZm4TPoKBSQtzy8mLnGjY5CPklMau/jhhb f4YHq2v3K0TVLrYxbno3rR/E0sZOOCHuuLBx8NO4Blsjjbl3iACRdpTxglk4bqPG5C4WRLXAxxnX UC8ai00zdjb3wssg7Jwab2INhlgghUMk/W9Vsghhi1zSxH/L3fyx7FrrOZAZkhab/bJT/0x7L6ZN 8R4181erq50SUSxT2ERfYRExBFvGjZ1cK09OLGikkQ2RL8aw4+mp/IXcGLlzClirzJs9m9ImQwrO kivjiWT4ZKd1o3zF/nE1g3TZf7ac4AECFW+xIeh+FNiULZUj4G71Q2TW+zSNCOUTeuBTB1qV6wpq QzHyfBt6p+hUUwNl1ZG7rkN14x5pOv4WW7w7i6pVXCBcrkP/rPrdxnMY17KrwCP+VQK1R7J3XqBG uCQItkzBOhTGKCmmw8feNbSAGc07GnBXaSj/KzIWPZfhSYBVoQj+3X8vj6vSlKpqb0k00zBgPgPf PVqhHHr0+gSQu78N2ecYhMEjUhIvI7i+NPnIv2DzjWL6eYr7b1lWe2G0AdQC4wj/xEvlDSQCmY81 llYlyRgMftxj4z4f0NN1rABsga+45IDkEoISi2hX0/lnSKqqVhxoJP87FX+jCo2auPTpTV9qXyYG Ea/5/wz/QQnDgUo1GOJQvT/RGMuP1pvCr18PCRBFugIpd6G3gG/O2tRrWeYd9ijPhd8SRD0W9uBG y68jwfECPuv/nZeHh7+pS3Du0yraMoQ/ygA/gCZ3+4vCFGRTq+X3OeDG1t2mfjTqkkj4+Tny5C7a hlKzvik9Sik/Fv9+h2DYcMfiZmmAdP+ZyTjuNLZe6VFEuO7EhMRHiVlXphQ8qhEBE/EO9SOSqMEt HW22KsykCiipWaAlB2pkDimoCLM5ohP28b2n6/WCgpeiNqTXqtxAeY+uup3WgrN+Mw7LTRqJ2j9Y b9Yrnxhb0lwWs/Y+lMfD2k2ojR8XB9AHO5jktxhbGKZxlAnqEw00X8TDEMLoy6NxqSJKYBO5yqQE pTdUEMmoEvQYGKhj57DTLshak2FL8P69cz+6gShPZBKl/lWRZ+J1Pj+evygNcIY1PQEe7Y7FYL4m PRSP0HPz9tWcSeOnWISfF6inBEwCmU827b3aLUjZvUGmQsv93S97btCI7vnlOLEWHgF6f7rh2r8H FHYLY+F4qoRM57Q25BOONWS2lLpxgeltBmy1iYw4b5qI+9Vs1CqkCLqep2Ma/RV//5Nn9iJZYnr8 tNaTTcQ1N6bE2zVbYcSA5WED4Za4h0SvS9Ws3jk0Jzoi/rpbKl9ImX1htxB2hgpuun8a9ckkhGPh sfnQ0gkxDD88uu30g/mwAkhwBXkD0Wc+SqGtyL4PCP+aZQpQ+BdbeLr8TgEPnBknx9g5/ZsWZB3K VicMlpkyCff1eX+WpVn0tWrIlPUpuT0a5YgO3rVoQC1C7AiAs0i1ubz/CUgx44+ATZ6KPwYM1axd iVBtIMznJ3Oqo/yhkAF2lXloaPqAuF0RqNXCZuAZ7lC3d9FqZ8SV+qFUJhzP8QEn8TdjFD5vkNtT vVJ2r9y0kOUkgsoNhfzUj8iv9qUfvlnBFVpBqiP7RHLMw5dX9Nzv4ORqda6sCUhDFeuYvzrVUonL 7t0lItK8YSPlJ5oq6AptMhPbrdK0LtoaVBzmp3g20mHkHQv6cmnI1PYx5Y6G2WVv7jXsKrPN8zBT iskTWoe3ByAsbmv3HqUv3/Svx+Fdv6yqnd3tPJapS2kCDbQBUoHU4oIMlVK5wYa80mjOQuTYBogV H4zV6uZrwz6cQ2ehMrKv0QXzzwYA8tyvLVzqVjrET/TJjTWLZ4qRT4740gkbcnDcSDwp2TCtHWWs Ja8zLy7l3x26fybcMleLu4GJX+pfyFVp1ayNW3aRk/XxGYfOOzLRIe6oJLBL6eO3O7X95lPes5CD hdPqyLrKCpiukIHdUbdQClBrt+pmQVLHaEnf7y3wO4t6wFoP+Pc/OZ0IDgTr6+yv2NdPHRF6n1d3 HAhCZHoZOj0gQ7hALOfIfybmss2rIM05F8F0xWEwIClI/seMs4S7sNI9g8w7Tz1B2+KKEtlL+Etu NWRj6ZpnbQfyGyb4L1GcfF012WMclQNjLSSCNIKZeNSvpbTq1ihd2Opn+Z+4E+lBg3hOdLKtY9Bj t42hj2N3JX6mjDacGUM2tYCg51RXwBkUjPwfGbQNliffaF/DTByMcAJOSGNVb4PulFaph00LLgMK jYCCUGk27z2kZcSZjY95MfBnG52Gb1s6ShY5668c9aJSVUvsYLOsZ/JDd6rS62SSbULU5qlaeaqg MM+dqzBdz2VBCGTU8VAMHG3rnQw+2DPgIahr/ObzkGNBegIQqAJ9e3q4zLH/T4mhVRxmefTcGAny uNik081Y+FNvU8KinNIbTTphcpfOgliMdkQ66T5aBpQ4QWQAnvtfPqxpHIA0wOPsblwSk0MwPwnA WeQNdxHHS6SyvVijvCg3sXOFEjVYT174c+N1TxXw1HZ8KtczIwbf9g1jdKh/FkMh4SEbJb0qXqed oaNQFYABokph/RJaycu6JzBA+4NAluhUjSzPzuZLFz2HC22ocbL5vbjyS1l5aJ1wFxhMwZ/jRPMp bbB9PyvT55wF7aiuxOyHmhZt8fKvMMBAGZFm5S1NMvZ6yeK7SbKF4Tyw6lhNaOsuliIwDDQNEdMj tmVVN5NLcSELEY+ZZbB8QM0PJ7EHxWzDoupYFhm0D/SAgKTAWxsaWKliKv6QfDW1TxCRSutUrnq1 14pg6+oTej5X1GiO4WF5Ppn46vOFQy/PX7k/PG12Z7kjRN3yxMgpgLXxC9NbwsTA0bNG0Xl5DBD3 Wl7y6FltCJsjeuhdqT0qcfezeqb3Lq0yx3PEaLad7krjzLvvpCytVHGwS5NrNKlD9p0xYMBc5zlF EiXkilyIuQE6l0jsrtH/aR35VlHD8QyBMnYCtgTocIjg2rc0vRQxP7o3IXc64kz7nH7mNf3p6rtJ fJZax1vwNxnHKwV3TkCIGLjsrngCrrrINUgOTKyhhWaG6wQ8uhXEe5qh0SH8mXMFBiVBVodV2VyT qR5FdWEEq46Qnig+1a4F/pnUe7O8RUudoWH5JvpPbLuYETK7vHnGgxJbWptnyfVy8VUN+vXsXtUE naxBFY1r5HK4SkD7+VzOkXnK7xdmJINoaBUGphIGnJRKczc7jml+UQmG2PRLNCJuxJcN1Wnmnr4b g9lql8Kxmpj7T27vVnGAUKHJqktM6NQM8//sWDGfsbNI8O/tqKrLnYryGhL3b8M59sTFvnRyTTa8 8iLNcDIEdHLmhRDF0hYf4WVEkf6OEre9HBP6A0Hav0YEvPWWLyeGp1wN1uD3Kwyj8sJiEMWschN5 kEvBaqsBVdlC4XNXdbelizIemGQRdfGBjqV3gn3JccshosVeYDhqdACyP12YS/OzVy2gSOtaD1/3 DoDmiwL8+//Z4hWZAUdi+N4XaoQa7MN+lQ0L4ElDtPGRwCdBFNL3F+JTJxMkk0yRM9QS6ToxnoJE PoJR8TeQ7EKp1nLlg2c3N9iLbFx6mVqCUi11S29WVgbNjHYpqaA6dPj54MtuJP4ZdcmI58g6Ts6p HNhXh/XMqFsn89J1nPyllPIMcVug4UuwjuPA9AbnF5iLJ3rp5UT2yWpiu2u/15eIFZo5RiALtRJx 6b2ukeJeK6aX8reh6nDhLU2VsCDYm7+X/rE+Ks7xLeQikCqeYwnS0HXem8V4cyErJeAlrMEGllHW pmc/CyzVjr8TaV/ZxsG0D7blzZGh+uy7gnZvAPLlzt+UFRtGOSDEEFx1tlg0lMdj4C/jTQaT8c0A wwT/UuCSJqx3oXmZe54tmUOUFP6fuWvF6ddxDhLeQl14n2EKBlW+zX8/L67UrePFOFn+y/egt2yY qboT4z4SHDpN426+OyEcTfAH9j9GGanZL11FlJRkGuTedwwGFV2IIiBt6ECMmvGlcLZAUzdsSmAx ksKBdlege9k6cZj3eGi4ebviNpSB6LMxZ8Eq2sZwA5dtZBcbwt1YPlFBkUZS/pX5Qa6Uga5mA1zI Td2gpcMsO3aiLvPoPHMcsuiwFUlwV297bbe+zB3Vsnqq8yU/C0sF4UbgPQ4nFGkNijN3kivE6CAH vvghBX8FkUW3CI7UTUnb3wkUXANG9IAN+30dZBR5PbDpJBv6CK1WcWL+OKAqhF6sxkzEmZgJVzEL ks2a3QGmdnLMRAYE7toKkSA2434mHecGXmRq+EzrrYKVByvei3CLbOXjj8zMI4TNQiqU/YdpaBsl dQ8RIpifDLarv37rcHzgxI5pp34j8m6sYEviMUofYDZPW4ctSArvbKbMV1gwtBBi1gYIZsZYq+YM x4JxLTJ4LBNqiQUVEofvmJpoE8CJWRHKu3yp+anQeWU5lVrG4VK0GomuettmioeVDH5teoZmgmxS fdQwKOkYEfXuf1yxfgmUmdYsFc4O2zrNGONc36EdQPFuZp2JIkcJM8yZ2yajxnfoN5upxoNJ6OXM 7Ft/+Dlw1Z50UgeW7sL0hipyC3d7hkDiVUe27+seb2hW4fsH6UKEO3rSUODMHcTs5ZuUOKI1G8kp 2v3fypY4WhlhZY6N35v1ukv0fjySZd4TsPElD10Q8ADm6qhrpPyPLawbNCK/SBXn1SnTPV8zcJ5W GmoHsnvAYiYkCYAC0xL4HtUQ2QxsPfAGN4kQz411uVjjXD00sMHKlhVNl2N/CaMbcwJlzt2Z0pmx XEpw8RFWJNjf2Yoj59dUOzHqq5KrngdUCvbYle36XGA3wpRPCkqIYkjYwLI+8lB0I/D4n0Nx/PVn gNdGoXhbrfASuRxFBWNfUWMpVXXZynjMMmwBRV+zzh92ePenYyN/r3TYA3pQAvzGx5vUd4pmjxbt IyF711DU7NWyOsyPQLTItW/yuEQmSUevzXQiPQjPs1shCwBwUcPoXdClpzT14D17g09x3tbPW8m0 hQFKffjcam4TvSSVp992JWzjFbagdLugm7R7Ceoyb17WLYtsVh0XdK+VxjaxWtFaNGdBtf07Muug ycJGsk7KbT8hs7J3B6oHRCvK5lXBRzB6eE42ib0Isqx7VTGI8EDYfAkKKcqXW2/mJhdo3gp0x59v wqqFfPKZgyObGs9RMZjpI8fJJoDJhW0tt7ufabOX3coqo1Rex9iN6ZwBvopPJQFbJAp09ON/6Yu3 3zYsOI1FQfEJDgMi8N+Z6Rx5EM8hOR4RnLl4ZrAk5XykMPaYLQKXPuGHs1iViMSwjOM08TLOOCmx eoWM3KpWJZRJqgT8XxQIwp8hdBjXzyMg/9SJvMPQLSZTwhoW5rR04jMblznYBuW1WIgFNGvhZbfO h/pO789IZV7ECs0jwX/XbLHBZC0gUu0QqWqAjrEGTE82wDO/hqpKEKPFV9EHTQBqXm+RF5Fk+GtI u9Ss5Pmd/heCopY0oaOEMTPrfVYIlYrlOsESEdK8IWM8oNVe7tgCgNdxaEuO2kM1rQ8bJEZmX9aa kKycQXm0b2Tz75OuRu+UXLOjBUd+1rDfH8ddvSVfzG9XkkVJmn34xjU70O6kzpQELux/jipKtlwL G2hoObSiYCxiAMQsiBLheVfu5FTHN9m5f+ze21YQiTVTNXPS/yQ62Rs0BaGvZ5FJBh3HNc5Sl5vX m1AbsqOVfOONkEuWg1oVbnSeiSMgqd3GeUtMsfytWVVeKfXbMHmR6HdVUx+QjpJyo7qCveUpBRUV 4MHwvCSQet5wsX/0cln80prKO4ll+mSnlBUr8vZHkjecClgEwX0O7erPDShObAz1+VvTeb5tt1Kr M2dgrhifEKbEvNPVMxDtA53nd8PQT3ZS6XwsC8rh9syIkEkmIIFGp/HFAwgtkO17ahAUvxVy3Czx Wpg1tz5acu4ltXvW6lRi+TSAoGpjAc4xSaxROKz7hwlAuqnJltarK1zJ1Kd78/bmniLGFnwdUkNM zi1kzHyCpqUajWelAkUGSZ7yoPDyr6fW0O1rsZaJIC51qnRn6OpXTWNT77ErvrDfFVRwl4gcbcgV rGlhMgywzzxy+iXCbiR3kaqDzLzYBjBHzrTrZ87Ko1lM88ydaec0bRTf7ECqKrWYxX+0BbCQCZ7u nsx7lunW5KmLw6PB4vae0Nltnq5UWFJaEpT/z89vW4gh3KjHplHwPIgWjkCORVFafhKK4qVY17jr x38K/lfdEznXUAyYkZHgbrWMDnxjKHmG8gQ6OBRHp7XWFG0D6TckhY5P9RRO/7mZxMiSc+XVWB0k 8o7Fq2K4maaowS0qeRDnoJM1/S1ELcJKwe12Jn+3uX8FmVQlrIGOIKGF3BG1DbpJ8vTpQ9XHgh5d q6tnvLFbkBohksUECL4csFbTdlAxxN2iot4ZJKslSt1lTmWwgexqt4UkWocPSqaMQdrGC5Lw25uT 2dJ16msOvS6R5MRNMDknzPlbTQUMCnyoctbpl9Vr8SRC2//L2ZgFgcBhqWVMJYyFvdrrAl57fn4i kG0yoj7kyGl6joYHVNAll536U8edK0AQUNOrYNi8WW25QJobXELYVEea4eNvqHRjJSqFo0qFg+0Y dNGIxXQvMTEWH/ToPg6GjOKtxyEhF8mf5TG7GKUseOj5wRgo1L9mGgQXUOgLI4/9IkvGVfQNWDPH 0JoW9xquanQDL7m8bzaR7Cx0Dkxo3Eg+OO9bG42kC31kCmx+kfHP5bOgGAu4Nw0s8Emk0YGgyhbF eij48thIdeFQheOh7cLkgny/1O5S7bjyT8hYjxSIFoXtnevbbklWzh92byRKr3QBvcaNMLCEAwXR 6BywelOskQ7xq5mO1JgRTftgTiYAIIPtZii6RjKrUTk3Hdu8OVyTwsfL2SmaKJVT/6c7v4Bsk98O sMRX934BORokBiqmk+5nt9ocnFwg5x7IRmbsb1lb60vO/YWxdSo+T0OTwZ+IwhBDtSie68F5CPJx bgtIf9AKf4OmYgggroNnAEnpc4GByGVWKSgm6OaYIXPm8j4XupjSHvmz/ZbUrA+lUEY02Pp5zdl1 EWegGHMJ17LQ/gAou0LyDrJLmCHoK+3qpFb5d8izFuB1VA0NXYhz+sz12qi7SqwBSW7Ts1vjLC5h G50SaZnANjKcy3kUvrE/G3ZTecwRrThce5fu4uKaeLhvO/xUnnaD9yKLTNj8djc7PoCxkAGIDMt/ eJaisl9NXA+xQxti7nhsTo7Sqnridl4twbsgOr6wkgPMff6M92rzEjeArfNfTUFv91aEX3gIlOAz IGgyB0ZoYvs9O/pZYjEPBvQZCt+SwNKwvNvzvUHNFjDytdQmNJTIsnSx2V1EQAMv9iImYyMS8VEl kopNh3yY4v5fFvUHc83dqNv2XaopGW3gimzUKygQw+SJQ/j09QNtlRTCSjeAxW/KUkQEuxq8bhhh 6nYnRTaOhDHBbBk/e6ZBDPhaeVl9JCRUrWcnJ4Bs3YPJ4jb7Gx7v+v4Cbd4vGNaG8yU65TtIPhRM swcS5FxD0F16Xg9NmV/S0+ZeHqemcXM6zn7OqIUtItu9ozqmMrlWT1Y+F3zkQbWOFYw62n2M4z2q JrIea25mWnbDR4j7gKdHjjs/ZIj2TJylshRzI34mO2K8BpPCZdunXPhLlfYVeyBxtpvoVbqr/01B h5MC7D9kofotwqotclQ1w8v7/aTXOBb/dIQdGU+CvYL7uET89de8YNz6wUXi11OfDjfTA1C+Ec/m C3ClTAh8Pi6XkLU/0qCuUxcUg7/KSET5Db4XzpRpQMtwic52A9mjFaN0myGayN278jyyespMnYFM rFfysDeoRgrQgXio2eDPGAKqzm8F2kJGszeDkk4jZ66gn+UPt+4tuVetxQgl1g9ZiErI7dNZFmUh Dunx5c2GJK4N9ygkAdQDcbO7c+sGFqN+ivqMprCx+0diCT+dHwxPmobf0KfrcZQ5ZQp3Wz99H7St 5iUlRNojP0XJ996LzupdORpsZVNUy4sjK4AgETKBUV0SFMIH8EItMzhyyvA37vFdZj4eyQ8WVsfk q85XdRAcavHOOzpsZPC/dogwawLViEb8IdUPvljonTWTNUrqWKdO/xmgIBqDg3u/ayCqGIjU8iDx QRECajcLCg3D5cx6Af3wqjyF+2pSSH0WFdp6otTA5RJOQNzrwda1R4jk9ztWqB2Fd8MbpK5Wk513 qfd7Kv0T5OkcZiI3hiYwDCcxQX3gHZ3DXClOf/zHbtMQ8M7U2XK+Xd7YQCmWiI0WxiMtUsEK1yCg 7E0BW/2+UGI+3vPWY4x0X394LcmJcGFSk5TVeOytublV5GSKw0hJ+0bBozt92V7DTKgL+yU0kder HXnQ9zIjVQY+Sl56OVXBCSxybhy+IEWS8Z8CiU57AR1yNqkS/etmVeHkd5dv3Quo4a+C7Vpo38ox 4gnjVAt1idFjh5p3I6RVaH24pc300U4iLmYJ2FVh/KDRpwdGaSoZXMAg8NWbAu1VdgDTOvV9Svye 1cXxaaB6xU3qACX9GVNlr3eyhi6Tc3a4e7jKlsCernRoNxFfEkXJjaI0MDP+3QVEwO0gp5HtppIi LCeEiqPpD7XjAOHUHgKml1gEtp0efWiPDaKsQq+1S9ln9+/I3qHg9apJF7Clc0ClsBHVbD398Kh9 WG+QDN+J4YjleOpzITjW/eU6SUoVFt2EC8eWfxCKbSPRoWPpLapSrUd00IGMui77N6pwQ4VLY2R0 kB2AdmLcY/uS0Nkx2gJwMc+PbParVdE9zuTiwIHzekqDUBXUtLcwenkWqtqv99cigYMJTzRrLSui Ro7Zu2/tw3UrCegTghFeqZZRgj7LRDbgKH4Tvy5NnQZw61/JMdRGPZZ5/1ET37XaudkJK7FrPiep QwrK+n9qznzk3Sw/H2cmz3jUc5eLXktzdkVIQ/ja07zhsSjdfHFI37SK7uqCp+H3LPCdJFlelMQI m9Iu8ZGJkE/YPWp/gffn3KTHvSCqO+TjEYYMyoiU55+z9uXnSQz+JIOd97Fq8geDx12hzcVdt+rP 4MXFn0aQQsz7cf4sIu/B1Ve9p5SQYIIx9TEMg4NMoD115DWR2ICPwvuTchVaO3D9Ol4OXSu34Fdr BQ5GgLjePxO/ihbfd0BjpObHdSyA9hphEDpKs0hn9btGtCNjvcxdNHaPgTv5WehXEbnwl4xPPASJ CJeGjTbE8JUgUKg78SGkCRIwlOsYPmhwoZtsozYcUD1WpvP7lFkc2GhPmKVsqp6x2n9sM2leaa4h EykS3Ov3f46vyTwwONH8WBfRE5uPKP6usTiako6DWzWoDPOf3CdiUzO6hwMxpxZVx3x9DDmY2o0I 586NQEENWggVDTQwR5r76nzp0sq9suThOCEj+9Tfw5N1POj+7nM02CISZkSFjcTImVMi7TsEGY2x G5Na59OBMSGs5/QXx/sQ9BwUhg8f1efx/ogAcWPhBf5sZDreCgb8Pysi3GAP7Y3JDfEwsSDMat7p YfsPJUt4OIGlw/ooV+/Qmhx6BUOrBBGbYqeA6kaTRYedrPrAGyZUYPjm3lKM4ZJ4OAlDOfj8hpJW 2ILFve3ctWo88IMh9R7BlpqWXQ1J1ZxWKa+QlS+XCYgM+0Aonxdn1u6UNWSwOEegJ6+hlP7XBjRW CKmjiop2eCfVeoZ4lAi3YXuyfc3V8NGPd3HPU/LtGBs5F4EBou4SmpCgGPddnIiQLmVCbS9Cf7gv Itfw/d4iw6pz+F15FxzgoZEWuU5RQc/hN7keI9f9kXTGowriF6aBkVJ0BeqyHfzZWA+PePp+0mzZ GcmWFbPci5XFp0ROTmuhQqflMj+D3z8IfNl7Booqd4eYA94213v4h3PTgsy4eRXQeWtNIpvwOBef wM7OiA5q5oEk4q/EkWK2+pOn6zPWchzVBejFl/dh8SP32BT0ANvJblE2ToQxoeYjCeanzrW+LMDQ NrutYZ73SdJG9Z3I0ImUonNO9MNE0DMd5G9j4iVJZt+GLpSG6rEK/bc77w5zwRXiUpbeXFqLnXW0 Z7U+UPcH5kquvjmZBF1GlpoF70eVqOrGl8LnM3/bhpG4UU8Mj98tEUUn/u0JPQSWxxwSaI1UM1Ya ZTWvsSy4+M4YnwgQ6OPTTQhVs43tRlW8tmiIgYhFWeRGNCg6EeeeEa++6BX4NX+mWtbOsfvpFiR9 ucIpfIp2hCbotz2nodLSiFF32yGY74wxmnSqvZSQs3+mHMhOMr2BkRhWoA8MKGxp2WlNrqZ0RNjT 81yFfTWGUSBYJtt8jYem45ly9en5TrJA0DDQoJrMG8HSVal0PEp0FyFHeqRTuAuT5gZaFd/DF4gF W74JbOroLxsbXUSZO7SBF80Vg9VvjY0voMzLKplMdTwqyweFDQbdkDTpASIMKZOjFpW1hiYS7/IX n3/evykFQCnBMrmPGb1ForAkO4stNKg87l6SrzdrZxzOwWhXTlnhiw71RbHks5dcQEA0XUanQ4il Yy/lSRmGEX/0djFopIZatoW7RcFI4e5ZsodVXqd73s1BLCl94rtZDuJUPz6it7sO/iyUqPjCK/+Y oRGDuHoXaIF4m98KCl2FhCMHJEW4sRHybToQrZaFTmkatFTJUKXMKxRDy0wHz1FMqVPlSo/hFyfI 4yKOvx/gUWWmDyZx6q87BZUCvb2pHfKLJmUmt0kqYtvBIejo9raNes9ZoJJPbVx91hGjct7IO6yj Trkl1iM266d0/5TAeEhsm2Usp7mcW72ebhQ5r2hvXWMLxk+2Iy0einFeEjDerzEi57bNNTdoeZ8o FI93feIvBnwehRIm1ztMGCPTUuWhLHlStilpqm6oXaVpsiGbaRpKjQ5FvGp/wsY+4AV7wO10MXV9 MKk2UJawSYUlMG5T5T3D1vKQWZlVm00/euGnPw9ZpqKzetWu8K7bpEtkDr0EDeiZgKGmYh0hHGM7 P8Adz+UmGc6Juatb+rbPdh3vSDgKe8Uk8okyX39AJSlxBl6VnOKqXACyPOKtfGQ1pfX4HJVH+ysl 08+laNbS89f++qOFXTXKWotia1en5iNMx/DP4X86PfggqUqsDJfoezF8UXPS5ONjEYszKAOxCqSv PbnuyqIHj8OAI64p3H1H3uNai7gyr/oUy2Exg3T9ZqAiKCFny/CB0Oenl/9Ha8rpoHlfRY62c8Pw V3ia2gv1SZUNb+DDd5mX+jkZ32GpXS9gonggl3mNkkJAvCz1uLlH6Uxf/KbIUKIZkDA7Jd1N8QFm X0I5PaZ+Z5VlsNWGLCQwfMu/LztS+lEZT+Nd8DikrusskVPFX2HqDKed6vqwxR5AE4D2Oj6rQga+ rFKYpBKseWF29hl82WKAbNZc83UdW9XLeh9qf8wASVK8r2ZtXzhB3dyhpczRnVAnUo+UumCMFMLX gw0uA+uLi809W9zlowD2fGylnC1OUmi0ASq+yJQc+e1Kf19xwhZHOFnKXcvp5qxYqCeOrKHA/IKX HcT/XZNOj2jeIBwbCCtGE3dUi7KnKKJzKyN2D3B6lrLjUUfZCPQjpVI8lz/gCadyVZmbK7Z6JAol pmatiwVkn29s8hGQ/Bs7dOhYOGMSdv9S6s9exZ3i3DK5ZoTaDXlr79RpuzWCwpNGVqMRwVFmHCZV qM0TiRyZ+qSyCLS4iKyAWq6a+FZbCfakCTHIHYc5/LFX0/W6SVD7Zijt1OOHD7cZaQnDLJqyMWz4 c8GRlck7/YAEjgvtmqlPIvQxP3Hsi7AdsTx7XhcosxxgfYgjSUKNeQeltWyWw6qA7KgmQyOsPUFl y0SpAAk1qJZ9fL6CLA4zAYQm2iyraLKAFYUVcUkaS5jjvU0AKvqSnmD0iFqXXcVyqR+VOA7r5fhv 0HoLnODzBems3/hxKfIvAFv0M5rLBtxa5dtr4Bl6zYkNEdjBkmt1NjB2HeFk/JaEny1TfHXXXlkB vOXxCjZIlwtpuU/m09Cg4LAFsa3bOIb+jWX0+uBUVdfQaK24XxtDfQkXaNxC4blkQ1NSwWHgHdyF OAq6Cki6Bsz3B35n74T536S1QrIFCFNE40eaYKfIPgUlYWuAKswPFgNDV6GhjL3rZVXl9LMfxGKO H+EnM8gPA1BFKeQEDVRoIt/z6heFN+Ltp/93wVaOpiW3oQgv2Jss5fX1ZKnwt7XdDzxOYQj9/Vel eao5v6j7DZayLWeg2R6T978Xb4lzS+hvI/Ucunol3DZ5jYG5OsnOtkWZ1Pci9RUfxLUaGFtIp5xz Wj90ln1iRwsBtVLihyXIABlwZS4uyKHEsGp/i3/lWercM+5U84enMHoVLnUtoB1h02IyzxgsTyJX Xn4AmmxqM84/CUy/tb7A3EgjnGqUMxxrkfq5nYTO8r06/1wSXEWW3nGH8G7EAumRaMrRkslTtiEJ gs8uBvkvW1p2gRdWLbAo51yAlP2Wqmet1zfjbUeMzjPCQxK+MJW+3UUaCdVX5ozbtF6LcvcfA0UL 0DRXqaX1UiOrH4sTPwJUAs0mnjRo9wLxh+L3d/sbFEdxQU/3FfD1O6WKu+vH6HzuOofG5ytaW4Bq fHvFa7tfpzm3ZsyXURkyLdEuVNrikw+ynbp5gYKxhKA+bU39uvtpWeJSxadO4NIEGzi1zfWEyNm1 dpz3/nhUCG+8VrfTuis+4x02Y382M1xnI6dxCJPntq3QVIZWxhIuqH9k3IDf6j99wFB4S05HP+Ai WwJNGD0WPYdDVpqGJhfHOjPRU4AjxSTUZn2s1aP+IxvP27Eul+UXiLxhAFujZ1M8xBtLnJiKQg+9 rORDls3M6HQzcqMaiH+fb/HEuJ1y/WqxzwIdxmvc9UXAl6LoTej8GqK2oXGv7LRh5CmKo4akFq45 2DlhYuZ3QxpTyrrjinssuDJdZhdqOmSzWYfnl4yEuHeQKuIkW6gIw2D8V2KKzYeUPOaH+EITk/qs gKWTajGzMZUJQB/13Tvw8cQ92mMBVhpEiLQRyvG3qUhUpyzm+JGlCcpGhbk2dDuBJjItVejTQvxs 8hkjg6jbiJuBc1RCoMCSJKNk8NBwkqgvHnFcvU4cSLGQw4b+0qX/hy/wu6K/3p8mrmu7ktGeUJ4i MgJWZ7/OQHHmO5GmFlz8DsDvZrziDhEY/f/Cdo/zVsl+SRiOr7C0qMg4MYve+cdysPinu2hf+Dwe XGbjwWmIpJf8+u0IpZAxw3mjYyRjAM0gZ4sNwIBeVwvlbev7aKhv+eGUB+X6CO2Gd25sPKEQkZ3a 4Gl9ELUT7pz9G87YRPV3GW8v77KG9voL4f8gWfAHjufN93a1hLMP2tUy68uiOR12v1k60iZo6195 J/rfnA1AEA9UB6utl2UAUg+zwFzsz8JFCh9XbrvBrAk5LWoz40JVr3/P2Q4Kv/NOqmROZiYoHNuK w0mfuMJ+285HpLxwqE1ZJMQBwDzbqKxxrW+hVsJPWCTWkco61g4g1g6dMxEdhY60Q9ZvJp1FhEgE zxgDJlV9fJ6bk7sP+SDHeH4rL8fCZEVJWefjg7adZAmBFC15/n3VZYYYp/AOcPN7DWLnd3a65WX9 KzXsG3OCN9p7vlVenqDkv5ftVnIC5BXpj/rszRLeCv22jYbf2ujweDRAlJTyp6y/L2eeE55SV58e 1oonuP84aZfRx9WuuZzfZgl45i5yH70mEEuGyQvqh3XdRjr8y370Xw4EvS6AK1DRJYGOhRanRtp8 yU2AXJlym05O1pEJfaFNIoR2YUIFGT2PRzACBfqHZsApIWplAtEsD/BUlTq94iWVyFD3VEfpFo3/ tEAyGYDSngm56yTxuRYxVUtc9TKGP3I+0GpQ8qjhnDlf2dl7pzEo5CdooT7wDFwq75YFYGWuXezs evMZoj2UqBMmc1q+j8/MD5p4eh+EFrrFqhiCmQRvYiWAFO9auw9VEfWnGW8C2pNrixhhVq/wWaym ZcoymQ6WhyeU5fKbeYZQZTSShL6qavGXD3mTMRU5TebCdIuh7pmelcFBOa+jA0D9SHKNAOWaOS5H 7gLqdKdxn6X4T7FrjDJ7sUv1N1lBosHUMZWHxDBTxRt7+XLIflc0mpunAue4s86hemrr4pXds4cg 4AIYNDS9+D1+g5YTLhvAM6dQCwsB4vzErHrh4IiG36jBJAYVZL9AHU+R3dz0CjGy6/O0xAtY/qwo 8gqwl//TdupBPkyfxIu0en6+XJVhGfEgKdkI5Tsst2JJpgm+qiolQPVEuGumFE4HvBLvvYy+OH1A /TcvkQoW4EGz24QgnFy8SRCYg0z5LUlvJiuEeT+bq07KTI3WkJEGSMVnrXisWLa8T7YRGCf000aN HKUjp74j2ShvELvoUgtWjihiIKk9fJEW3Ufi+t/kpHQQrBuPjuDl+SXyWYE9q3p2d/d8RWwkZk4M x9hfW63DCCnUH7eUfn/zUiA26457HKFPlugUOdT7skJ+S/fm+k0zhxEqyQdDggWPicz8A/fQY/Wf J9Zt9idgactCKQQX/a2sFzYnkD5XoMSZY18ru6KVgIlNKVbV4M7iYiJDGpK54MhuxhaDgLlGZGiL AZsdomUWjuiP1216Bhru+fUoMRSK5/QW4kNVQWQ7jXUPVjUTDgl6HyYPCTUSmDTKO5CgN2LCrL5o NTxQ+18BENQSR14PgAy0YknLfflhR1acsW5ea4mOm0+HDhriejEyQ80KmNaCJENhaggSnRJExBP7 HMnq5uHhupqYpQYPA4G0K0ol51R2qU1uezhOZQ+dssdCxUxztirAFwQq1vHqGdfV1kiRSqcktOq3 mCMqaatuHX+cI5AEhAjoA4PZD6I+VgXg3nxKG0/Dowu0YASjgeSLk1C36j5NTfHQGvorjG0Ybqvy DM+60uuWGnZih9s+onuKxyxz3mRYkqLk9OO2q/srRL8bJkz5c9JV+DFggPVl71C1awk/EE2C/PuH ZaTvtUIFCpibiLb/C0MjzVHNC8Rz/szGKPOugCD9qsLLRFWO5bHpUG1TEGuL/7zC3WcJcCsEOAB4 2JiSFgwyt32ZUXdpM+yh6OL1DusvFLCHDbxvARE3cq9/qX9+L0/mAVr5SljoZForOoisIwIw7xwT qckap6lWsAe3v0lAQrCWjyGo2WFlmyL7lKan8+PciU14Y/hYVN3wwobF37IYAVzYXbzsUpX1v5C6 6o7dkETao557YNB2yU7chfEGoInwko34QySXASFTDRhLZtfbb9dhglgsMxSQxQJoiziFIFpl+ZzK gqtkagtkVEsKz/CSpo7ZMLY/noIVqEA6BQYo9qkf4zWXnIIYfZHIMHOd6BXnVY4Vol50V7IlVa8q FJjhE/16t8SdxUvnihUbFM/hMEvwxGbKsh1u86UPb0OamEe7T+2XH5E6nWFDg6v0Tc3sK1Zq5OyW DEVhlGFuRxZlaktz/5VNBN0D8G2aWkDS7Awjy/iV2MNI0K9/1bKjerHiGawhuHp1cP86l8EdRdS+ /8uc4hczdz6m3U70ubvzNTSmfDY10B5iJD6QBP5YczY0GPOF0Y2nZNnvPYxT1xit1+Yjj/ni0OBq vXOqEAefTwrhY6EKazotqMjP4YW6taSC99rOnAmJPl9rWSt3874kLqE1pogaDMTgbwI3uhtHTy05 ELxPN6eBB2837j9lg0TmUn+jv1Ll+EglZun4mpy2DJI3+MED7soGbGDhLfqFWwM9JGq0rr2f0HQe gtaa8tfZ9LdhtWIiPN8qeuGglQeJe8EEn3/eG34XdnYC1G+LaanbfE1jjkzHibgTsXZAx1ApV/bc BL1of/actNKp2mlFpW9kL8bql8TlXYUhAp3Bm1g8jNNGXGoOcsXgJV5H7srx20uOdazMv1VT6UnO KMmhYJWklEdxW7j2Z8ke1l2fpbFZB1dxkeK2IDqLOXrf/OPceiWL+zQMR/o9h4o7i711ccsk6csg R10j/jIe2WrT2aYu3/gXvnPbKvfYri2kaC7U2HNqw+3SVVwO/DGAbkaVUZV5XgVKhPKa/2uBt6Ra pILddxvY8vs6WAfxVAFnHyFNSQ1prSR/+snHsG/5pZEJd1u7+6kpOS969sayAA2kjWmsmDRkMP1U i76eGmwweBJpbWT05IAtCmZbT2GcZ/fMqP8WfgzLJtlp5gmKik1RnRIb4YpGG6A5qTLZTsx/9TUS Un0phDy4Wm8r42i4V+R1yGwkXcINNuqxGN4s7aUnsAaZldhBigvOOpvV/Uqo8nAPuFgOvzFMaQX7 0DPXOo0jojE0BQZzuzNHrCSnvurLeAeeguTo5idxkPZK8UOMKQAjNRnxkD1aZte/dn6aJ8raWdpj 95FVC9e4HJJsyUTyVQd4NypMvy37TawTlq2Qm7nUCxAGa3R3cmNcH3K2PAtb+lMUdOgVlvQC9yqa CsrN9PciBMTv9KiSTUDKrQwx9QLArUj0wOd1XYtNFeSMTyjmAM/m4/OZ9TdYIpwjcBEpZ6HEVYQG 5aIRZ2VpuvgaSH6VM+suKVHIKSIpbP6W6xtj3y9jjGmjRzaVJdoMgMv9aDhPqxGAsoEsMG1QPeO8 cS+HI0XGnoI4zpq8n6hXngiuBHY1UKEXJHZQTuxQPUFul/7j0Crp/U9bCiW+rEa4ZEajlEJSqY6B fUernSupHnVsGDajZwxmq53p9UgJuk92XG01CWRudNezTdn/56kh9bR8E+ZSydM6zR3yj607lM5N G4zPTHd5l3xfYpJFOX4iSRnjGo3ybbfUyWYveddJa8qbWoOr6EUG0jktViileYfzGUbOg+4kM70O J3+VZ4G4AYa9uC3VXzSC3AZzrCGJcKyT5YbGqA0He3rmK1JRrH8YDsO3vWjl0kJrrZtBIgoy9nmF zHLRc3YgVf/Fa971+mu9CuXQFqYv1JFsQD0v1Yle2VFnRmTRordvNoni6D70w6cDUSqIkd4aVbKC unXHgduWnDH9DXKxbL71zvqmuik8Csos/ASXPnb405xG6mf+alPKCkElohP/cSq5IpMeJuF5lbTx mj5qnvTspcx2z8/ShAsZ/Z/x/vuFHvpJLJ0t6iCUexomtI3+KeYU8GFJxYFq+d9GGH1T3vw8x+U4 SSpqzbvXFL0z58jpM2PghBL3DeHePO8iZq39ZjSD+uSIY/acZtArKMBxMjd0cxdWI0WXcYNDFdTN 7hEpDL/bwnDG6HVtBOek1+aadFRnIp3J7VuiFwP0WC8SkAzWrEDnMtpq/srelxygiu7xp94hsC4w gSVDtwOvlVvLNfI5PvENWCSBtm05IX10+2eO8k6pcrE+Isjlo/NFuHoqIlPLvUx/GRl7DEgwp0x8 2wysJfux3Mh25sWEiz98oSAY/T+5y2YRpgQHhj3ibAVPyXiGjdufPLq0ZVjL75E2arRLz79wARfh jAdQ1rQRhxwyQhDyXJ2suoZDoGYOjwDEKgrL4XN/GJG2BD35XOysI9GQj/bvVOO7kBTTdUaIrHVC 4OCXu8Ij5KsmsZMXlS9kp6Zk5zIeTWE4WCd1hAxu5QWZEZPziUqNURguioHLl6/deNg3UX8r/BCY Yi4GmGklcehJnwXykCvEOV/In8gPQRY3agbVlbNMl+Zl6jHTBOFLledhQVvheN6Avz79Zcad3rv7 Qyb2RUbPPJ+GM7W9FyAMLJ6x1mgVg6qcZ6JfzwrSKPGmpjWZkjsyVXrx/ZSjNg9HuhMdoUNWuMYp iuNkGMw8SNWt9qGgjMIPR/fUzm/wfd3DLmsV2ijoKK5gyVAybu9arePiqzBpcNpKP6kdjSMddZEq 6HECcQrswbI7x/Q4Djua0ioVQmFcL59AzvFCkFTE76+YLJeo3V8mYuuDPjelaVfGGBX9ZkvQOjwd 6nUsx37V5rzgVPkXyvFNaZ7iM44UkOAHUQkst8Y/O337SXF/hYaixHJ3ucOXQPG5MfXrV1tK3tQO UofH9//ocvEIKUvEQwWTQDbh74B/bCshPzqURiq7TskNoZjm7JtpvxRzLTAsXL8YLmmmYnGZzejb 7UFQ1H2qRCRQZOz7byNnePkD9Kj1zBhYECReL51+0K2PlGTSKoMM3/uOZf+w60WAEPcOSWFO0PGc 82nJvfPUQtihkwvBSAXKtmJLPatN4TjKpV98kDyjHtdmW/L2FKlcxUShmMXkJB+SmNC8S4pqKnJP m3ikA6vM8J9tQufQOiSOOmErf1Y+9C0N+dc0rNDjZNUaeqrmFSBniOaTC/1hYlUMj+HLUyeKSLxn aaVYgtv/dD7wQ/oEmprgx94pkBHlNnPRaXMJad89djpc+erNA6JGzQRBgx3g15hfVyWEk871i+Nm vArX0sJzYnrblDIswE+358ngdG0fWRSr6LlFbzHj1Y5YfgMcA1lQWq/6KVNMXpTXKqZv68aWhbhP P+X/B8w7O9pcIfKfNLFzKYQFviRfBpi0FIffUv3nJ5IewEmFlwwuN2GgZVfuSLR0KhaSAB7KnqER EgDDI3zCAiQX4n7gmzrG9aSQi71T57N9pEcVlnIsKHl3GvGjf41XTk8392etKl/SDAy9TgH142T6 EN+uo45fiXEG9PKq0KWm3zbSVf/NsUrwl2k7y6WFc/aK8BqHLYX0onxOYXJZYineRFeFSiRqbHZu gmi4knXGHzq2zyr/hWCQJ0yl5v+rhOBh/YClgZj1H+SkpKfEhkD4r7f8yjOWcuRY0qi6VCBCA7kG 8zjZ5AJkrlK7Zdfagcc+cKCFwk0xMm5oSoiHuXB6GW/MiGerMrLzz68nARgqPGk6/Z2cBXhCcObl PIDTH4N+AjHj6g0KRp50zxBkyiSEk5VfZxBt3vpb+JByehj7/3nwgBjXlIzUQ+QIECkWCH+E1DLl w9RyELJiGVEY5fURTpGVAhcdbK9DctmLQxLXLKrtdTvbEgqpqH6erFKGwhc+3iW5BH5AfhZ3FY9r yYWrKwIy255S4f9KkzEOvhCf6ZiFWjUjKsRpv8lpXW/FF7lIevjm2wIY/JCZ2Y8tMEq4VXQQ0Vcj 1q57RHRfPnvB92ZWFnNEVgPgZje4v6Yv5jzw8Vcpj0iulV5LSakQS6mLpBj6aOAj9WCNPbrPkZVN rXBWQD413+RTE86PFuDAOy99O8zAo00V/8C9+ePEmtd5pBVaiYyOrPhoFdCpZGo8GF/DQzqlW7/G GY4ITv4NtXsoOHhdLkttxyF/7Ef7SWnt0lM9bwMvnGZyBDQVW8qZClA6wThbKAxET/xMTwBCmdZi pcSDI6LB7KxbD7aSkchP8EZLyUpjKvWvog05cCeuS2b4j5n555hDLWjoqfkz52A9Q0C9LRvsBqMd RnHjN/YXQ2d8EmCgKhN6ESQzsv3ZmkUl4boQfi34bAimm7DXxEyK3ad8CjU+aGWvs/sB5oTaoJGM ZCR97UCy3vAOHLkpEZTx3xG9u6/hPOCHcpqLsaUwDS3pXoRVC1pKlOnXjoFVio3FgUAoW7KM+qsk UwBuyNb+5pED7ln9Q2tp2dS8XWMRgUAHzBQrk1m208n4GRVW4l/0223q9WsuBL7jPp/jVA0Vk//s 9vxOqgIXpk5RddeQI6cpK2c3WpycHfb3G6esorfPa1dwCssrFVooipDCUQUqRFt4YK95Y/DEC0uz 68W3ZO67NkYZNrlFp/+MF9zDIciqNX/cSKy87gKez7rYPtXncEO+1BSz4Lr5Y83Y639P+0d107f3 LrJf2ZXRc2qU9DXD1NUGeSYaiA9JHktN31duOwiVbUllUvt5ogm9QLsgMZNIZXwXXK72Gsqqmdn+ aQz8ibthyK01W3pDOvkFGfsg37gwWM8SKf5et/Gfre3ty1dV5fOOcS1Wwh/4AqMZ9wTDTqNGiqik pHavwmeGMXeISa2vJ4lqD5eUQCOQYA9X3N58g74+zIh3qm4JP0Cf/QOmX0t/NyzOav94XxQF4Fos b69yb6ySRAq84CzwcmaSCZjOWuB2NmDTZmDjQXhFEMIi+82Q5YWN8vdQw/A6vh91a/ulQj8oDebD Wz4eOHQYaCZtXJeD7aoCzbngvWkN1E2sPDM++Pfd2yVKeSOcD5UndafMkwIN1syD9L49huB1c8w8 mHQKNacQ27v5N0M9njPnoaYARVxEWSSETpEKmjW2BzBlwzRXhJY7biZGl7dP++pM28YQyNaOINRg BRxv59w4L8bjRJ2CbYXe/ZKuERELMEz8RX63MHv4a7EQs/+tkYyDvPAcqH5J9Xl9chnfaEMNQ7kS gL+r4U2aMBewbQZT2LYkVqoruzr5gLpLjOpB9GU6BWj2WStms0dPfNuTOoXrg00wDHzsf90vWc/z mcgY5djhXhjPOi9P/cbQizrs0uynFuiv9ztVG3eeUOEDFkqvqmOfr+iWMX0Y4IuwCKjs5ymXww9U PUkIbCg/29vK2TaJ4KKj3XiReBBUjpI8zaDaTOJhc5lCulR2HpPcpMldnEh8QeGOgBD2WcMLv6RL KGObwye4hVilhP5rIhLPaeg2m66IR56lvOCWN079VxZEjyKhnXRaKmj6RqIIMSMjEj7ktpcMkbCq N7Ho8e4OINQDacrqj3ZwUDaPrM3E0BsILeUCWp6l3SpghtRb7z/8mXI1m9d5dlaM3k9h7wKqYC5q ss+LxmLRb9bf5tSCGP44e60S4RC/FVaY4cKTMuzz28KAP8kdH5IZOPhPWUwaXo+6/T6KSQ7O77N2 NtWVa9E0zDB3rDVayHUIgtEii733BO58CLlxr/GRW/f3/etvm511yYDCbQzfQG0J3LgCWPtyRlqb ySaMuwXJ5WcOooSySkDC9no70QKVHZexSvpXX0UDMA0k6V/1zA9HdI8XkcSIA20NFiXZOU/Omg0i InONvEsECTo5677UMcqaBqhL+esUNJ6NasZCq0394yPEaFWTa/yQaVJMFVJKw1Od+28432GXG+XE B1pxlF8O/JN/bgyZ7yXSrOsKoUtt3C82okXMdtUWZPw1Ji2JGOt1uMTJaxyyIu/+Cag7GhFiyr38 QmeBbSZm0gxwhzQTcwaqmYpsGG6bOUdK9eHhF71xxazPCadYsTttVIH/70LSZGALrOkUsDW2PuWf GY5YtBQ+bR5mdoOBxLte2exfQhlB2xS+KIhNaU0oUXQTeLQTgkc+chUKAwEvjV0H5AdOrI9jpdIE fKWIG1xs32GMuqNJ95vGn7C1wlERD51jxvd4tTItnGD0Qe7hOrjKYQsRMeb+VMReanQkFEuPfqzM HPGkwCQ6d6i4GdzzubtuJ6Y0FJlBfHbk3XMHBClXYzH73usoSbZiiGhLjKxdrWyB0kff7SUyPDwZ ijs1PKd/J8bgXAz4/+idCOsFPynl0xBf2BbzLJ/hyGEN+ATxQOtqWs5KDEtta6Kb7B5JC/Urqlqm SDM9WYAo71vat1NU5jar7ir6AdCKrv8Qwl9vlxPTF+Q2YZHRbeiGxIaiZQD1up0uFUizN+sh8+xh iCqpIXOhPZWVmSMXGi5F4DFad7yHHts7bqOfCS0az5NR+jE2lNgjMikamcqdHTNDjP4SApoji8AL +yBYEdYcTLMe7VXrBD4z99wjYPsVFXY+gVpk9sfa7eOJMLSw+kvZYF5xIXcnjVvXfUr8RiGfxzi+ GpZpuTHWdYPtgU85zBEmrp9KcB+Gz6rKG6BCimNoe8UCAbVcSS5RhRNMW+z5HG+bFkdlT3noaHlH m1yoPwgGw25xWGJ/mXc5mjBctwLI8hOSCOFsy48xr3D/wiYeZUm1Esj4q7d5h25ITecnfijJApYU 1I7wV7UJj3nfPGi5oHsXlfK941qiJhLj9Ob/C/piY3jujmjWILoE0XGBiKjG1JptVNZ+e3RvaYOc VIwmohgMCjAqtcrhZa2QtNTmnporWmDmTZpYtyZqZR64GXOiSTYissaM7s2HA6Izl76v/zY04lII Fsv3eP5h4kbMTD7NAcp/9zC+uYxxNOn0pDjOjWXzJdbqyOM4kns5ErVwFQKxZzuo2HQ4dXSL8v9X p7FkMF+Xv7Gf/ZuAnQsvNFipMs1AReu5EG9guk64s4FoPrMktyGi2RLra+Nm964cTHLRy4ebPxvw m68S+Hj2pKxGPn2u74i8B3YPTJ5vcpc4PlauiscY5hW+8/CeGX+1bZNR7q6ca0EiKOdTZMvx75ap fEh0OI/yCAX7o+l5/LuV43BeCMx6At5MEqts+G86at9Y0GgUx5DWtdXemilhe3rltOCeMD0ZzEKS pgYkNJVrwkQA/DZsAw7uwIprKktyjYTdq7ebul+lgIdyYm9VBDDOFS5iened8kkMkCW4Dg1b7ZKV yZ+hzLUkQJF1a4LKaIGdqhTC8CUKAEUYB+JzmYhalTtBVIrNxrymW/KGe4fSQl2ZnI6ZM/KGkjgw MoeUhFwKlG8cVViTWedfOlSBQWVopdLbKrqXuUShbjB/MgZQ41dSOGjMv+R5ltjBH95X5pnRGcva mS1Lpec+TPLKQEd35g5PSKjzVbWLabLQlJNrVioGdIwndg29ThrtPi19W2ZRbE43EXCC7KIlo2Qw ZoxCPEvbvYUqH/9ad0YTF5CG4WEazNYF7unAkXBY7w/cP0Pp3VWTbzgEPD9I0iYuY9nJbcy1M756 vLQsEvYn0iM/K2eXHifO2kkqzo1S1glLtte145pkIE14ZcqtFeFnRBiBb0j71v+UXtqUaUpHTDtH z/U4BiPah8kFTQTInAfjU9I7jDEZhrZ4msjnXHn4OY3AIqsTrUik7MAWHv7XHwurnNOTWldisVjK ib1yvSJW/oaqT4UTT6fyRG0yuZqSEBGi9+bd6I4fcMr2PYjK/UcMWDJuet4qPh9Cr/5KFqyRZ3gh YNKUiQLUKXk8lVOxSXVGz+MVK4w9YAkEcEFPTcqC1Dyxlln8I3DE2D/6VwLZwVbX0aLHdYTSLprV 7Jl0D69K0U7/KkjjR+0THl6dhNF9sPCGiVeHg1wSfI7/XgPSGVkye5N67YeijKB4gvwm/8RqCleB CCdWIoeM35FwsG3cB3z4kb/6X8XMNxqvsPNYIWOHh3cTDDtHdjK+Ww0FXn9PQdafiS+Uz85M1Hse Fsv0P2cqieb9pee+rSseo6U1J91Mtyd7+Sq2ggPy/Y+bbb7mY/n7clYWVdwaZYgKCFzsGarlhVuc dyymj+1F8M1/SF5C/hZMEVA1gx0BgrhOhvuzHnFMRLaYWhhpCK0w6KHVTeiUTpVxEqwegLy4U0dz LO+eGz4HtrHlqNT+gBlrs/uYvLHX0NKFxdE4ft5OkLjggS5hvcsRHhLN1o4ArbSFLSwtCGReyDfy Bjw/xkkjXk85rAQlWP58bQz0Mg1HaMNDf946p09/wln5NX7H5RDQnQaoPWPYAI8XwifIV/aB+oN3 SaddMyntoIUbGtgyNWkHPJID5uwccpc75LLuHZD47vRQK8+xl3ltkiGtyWvu7xbBjwdCBlgUmn3O sT1QJ2MKROSTmTsNwJdeesEV89SzKqYcCvS0wKUZ6eU3uF3bQxVk/DuGWawD2E89setp/1s0E03C m/4KpaO48JEYJKrJjy6yBRJ6byMIThX6TlsrnyBzWO6IahDNvimNs62aOaW+XGd1BKWgahPbsgba 7SLMjbhX5b6BTIjXYtohPZIKzh3K55JaN9gZerGQvh4ZW63fKcJE+ZzvMzM+J4crRgEBpLW/6J+e BcRehgSUDDCUbzSjion32wesLCgtUmTEuIJLTiJ5a6Vr4tqMkbBDek2SDapD93KnZF3X8mwDO5Pj 8jBKtgVhlZf/DZqURu6CwrKZERe2NaiQ2pISlc/ZxGiMdalw+wl+6d9JsmMidDTh7WSTTfeFWXNS 3MIQ+ppfztabYJ8M12qkkRW0Esn+0bJJqYj5RuEo5vkoSfJROVX79p71ZgoxT6R51KUkH5z4Mmvk giJjy7RFmmwFBei0xwfDitqxSM8MSdoaVngjnnmKxyv5HFjPVTIzhJlojuIM3NrYD4iTUH3DEgVz YQtaH2CqCHx8+6GL6jKPRn6TMP/wWZICyO6QzD/xCFNrbgyF4Pznva1PwevqjLVL+drZFnetBRmY +KEbej/ucP+RSQXgcdqHfvRnKyi9xIIXfKg8VHW3Df85ODWP7ePRgWIm5hP7mBazad/MUd7pxuTZ tDVAoC6kk4SQwPJjgfpFLztl596XznTexAOyfFWOuwRKpPtbLlFqhlwIhn5H1Z6AtZ2X3hVyojeu itgTs26/AeC0fFqMIdDr5wNUg+uwVZrIaJ/3wzL314Z16KV3/BBqYQtVSzrNHXctBCGD0LJlb4Lm iYYaVOZXdnPd9WKHFOelnXVR4IhpsejXehtQamtVYbdfJYvjZAJ0lsO74gF/LOF7qqVrjtruiKCa 2bW/LjcOTeNpLN6hSQQR6oCm3QW5L12RLtKsEZMODBNQYIUZifqZIc9TwasEtJ/gR6kNe49oznZR IOl14rZAiq+nYdj7jju616virjY0qAKN9xr81NKM2RTaHGWIuhls7Q94aX1u19wd2L51QXDN8GbF hsar69sda9u8OnqmUU9b0Gi0Us4n/9zRhJbFeibZJS61Pjb4h+0dLIE0brIDBnetCFHTTEpB9gPV GpXLmW5TfD1FuUmp0BsKjY9XCkl+DEFCdUPP5ViL3PqKkP699haQ8E2k/E8qDho7HTXWkDskiyD6 TxyYfaPNltgaxnbEjvDaqp50SYroGG8OUSCm9LBgnyvxvC2kP7AB4xBwzMIe3uRzuDK4vBCxWi6H QZk0P5wwCtcCObcSQyh2T86DTODY4518YRdC6J0wvmqh96zq4cMcXR0CNtiuqirz43TtpEsIYaWu WdGiwwfqdE+SEErYF7kjgDv3ETkY7ERreYFkB1eEXDpwDaQP8+oZ0xNsJEmrHQaDEZl20r7CFMza xX/h8JQ5PgPLSe1l+tqgIjQy8Tg2KAGCPSc2dM2qeqAYpTR+hrwohjkYjov9bVxS6Duk9RWZ/Pr4 xX77jv5U3TF4BGNEvdCcZYMVHdK14VibmbW8onBCHRVQdSoeL4KwpzzX3YfoTMZ6ra3d49qh79jY Yw1ra+xFauknA9YyGUYAYgkezQFfHmfFR5chv+gzYLO7kQ0NaB2dc5DhU9UhlAIx9iJU0ccAitYK pvCJcTylSU1314Z5OKWaNQTYoRtNmzaqB31DtsOxyRBu9mkLHpYOs+w0RNvOFsIM3eFXhq6HQpjf dJw9+noTkyAKnGZ3vJVnHyEjxn1Cr6qqNUbzweLzHP4PIeskFVu+wyqikn5gIm5iYY6VhXP9rW4v FZD4U4TthYkKmf9KMIzrMK8Xw0hYpd9bdwQV8b7Ges9HOUx/nZXLMbNccPcdnefZra9Pc9DnQxI0 FwhZ8jsvhfGQEYoYXTV/yY4T9rsSD1Zpz1YYRT82Rc4AM3t9OdP93CUsILdeizGrzXC49yoQHPlD vN3dBOUlQD9cwK+QxG+EkmlTpAIUUlKNTgAwKoSJxfuOJp7va2bUMA28qUq2Q59Zf1a/OrTyD3CV qeW5vzbdMjzO/YcJVfbw28vVPzXw/IJ6FbEGqZFloWAEpg/Nzhydj5/GUAjxBrDuiKK9pIXU9rsN SMemlkEnsaiEQs9XxRxZoEAsL30fWivJUFABLzomhgcZIVd18IH9+l/0EjfHDQQ4jji/a0SYMUND vcqlWNgT3n/srDfRv1CzB0ia9RRcx0T7y6AUF7hJ1WrvKOXIAGOGFCiz/GGREacEmnDest76RHfo GRj5M7GtCwNJo1bkdoneXn1Ey9wfPBLhHWmaFjhqpMqiLS+opWsu6rMmGZXaCfOKCnCFrIdnzf9p MwuL56wr3YlZc8N1J5CESPtIhVdKxosWPMcUnBzZInK8ECqRA77/PvO9zVEqjAtTyh78AANrJfMt GOPZMzCI8ypDfj4+Iqqqpa9ZhwNj9FPMYy3WdM6zu1AMAiqONw8p7U5Od8DIuXPZ3m/pUvvYSnUP 5wBppbEOvKCLzsdRvVyI/6R+rE30MVnlUPkNp3gNnluaabJ8W7Wmdw1O2vtEX0PLLfpU3AKj4vVg 4bKVkesXJ6Putsw3H4b7KX4TCwQGGxwIBBYtCpxRPtDCppFUBLskZgoEtpl701x0WHdkcMrV7qZv yly5nOJjAk36jR99ObgQcdXIyVhdi5LyjKkII4Vef54661YQ+I6Wlh8yj09t8HoWfThNHVUg6Nur bZBFNsQUTQQtvCt+0kiV1ZfETJqovlBXS32UsWFFGQVHlrp1ZjzyTT0kniMsFPN2bya4pTPhJ6iQ GPsFb0KoZgdeuTn9jNge/H0vvdk1iBBKLiicKkoJ2n0RtrNELkYRgp2jDjrCDXprbFM5c3o/jSD1 lsytGyRja17PIsqrl4s2utP3WUL4vndmUVOoW2o8VWV7fS4b7u0T6isRvEt90TgS8qGS+75Q7SGK 2UgUbe+1QHXSX7pmSx5AQ8kDNiBopbFa2gZmLnaUEOaa4K4srKfIGaTSEnH5h6O2E0ZH1ppPh7V1 OgDCG7h6Rv9rLtEasdedlgliNmP5LDpxZw0TXRXv4KoIkQBaS1pB/wZnXBEgu32S2hrABAVDvzJG hbHMGKxL2TwYDHQeJqh9LM7U9a/gbOK72Jm0DOVzYfqljdMRoKOBHqmcNOQbCwkuXf8srgLmCpTM yas+JqkXEnOd0jJsGtfg9cdPzpo35LGljbZwgq2M+jbufjgbytI0DrJ8JW77gTdUP43x74GbhZeg B07jmta6zArvEhKkxGbfPAWnWq5KOuEl2H/g0Ocbc/dMPEDtQTw34Y/8a84CBHWMwSQ48z9/3m+d l+jw+IZ1pzXnVUPtDEydx4Tm//5v/dFQggJebXLzdF6GKTdJYUi2VzbWZCps6PjsgkQ2sqbE4Ugp P5+K+ckVTWVeGFIbwVNROXbmdXpYQxoSOGBFpNXcJqGyNO2pHA0XBJ1+WTxvV/D2QwNTpguVCw6J nFKczBtqY4ilMZ0XwKKRJKTiuvNuLMWfxtO32QiEoy2YUCCp2OKOpPF6vOGQW0l3jC+k2KUzinpw 2TbFlQeF1zplM4wDwrsTg3mp+FkVpk5LYubez0uD7QI2Ru7ar5AKKLxEJkNgGh4nyN5y2iHdFryr e0QbH5pVbcZ8vmfD8dQjtmCQshsoiWQ5B0YNc/XlhM7ZDcWr9kQn9bujONSsHM/Kb8bDrgUxnWLk hr+o3y88NIR9sZjraYWhQqHihj3vBBNstCJjJkftHQpxYeudvPzQbllo0Ol+B3xrF4uuAtE59NRf QnqK6QpLFSFZNxl7d/GUpq5wd+KL9J7igIYZaUIuLuw/XPhIK3l5AyKdCST5idqTz/O/vphM8RY/ ejH3jUcJnEV4msHps5BFvh7MA/LwTBlhE210hmZL56k8wabMchBRkRWiPcZqVsjauC3E5TceotFp 3khZj4Vz21K4LSfCItMsBUhmoQHKEruBmeDAgBmpJv5F5tuRXDlGHnmv81UBjAk43CUGHNHVNFp2 nOAjzITg3gNRQio/YSheJyfckpjYV8A6wWvnXn6H9ss/Q3BeAN5pHJaDm3w+WoB/PPwthqIitqU0 TEfU6SM6aC3bu56tRApJ0Qw5L4XqMnOes9p9APuq/VZB9LJby8+XBJ7PiMSY/s2fXcKBa8d7hCT/ NtyPR2xDCz7d/qppNfIQUajB00wtmboCDpR0vu4Ocmg2Np/zpIeOaDxGFnbFxMuJzrR+z5TnhDlG bw7ePFtgw4aRfG6LOUoUAiwAoByGTtIJjFoua0EoRsD8HFt6nBDlf31W3O09DUyZpzno8UD0IZ89 KhMKx9lzwlo6DVj88NuN49GDzRlco1/NvSAhKQUbD5fYEYQ5JfXTJrhBX3a97jaQiy9adSlGG3aN GlDF6mbHU25NIajZJOm9TZxloGyt7o5BQN2Js+NZllPp3Wf0Z+wz3r8gw/KSRYRRgviXR6PlNToT SLKpNUZQ9rlT8wJLEoyZj16j0as40/Z5+yyLhLFJCVJJomQ9ryUU4wKbhhBC32o0tcYSWqP2a/RU zunCFv9Duj272TgdAPSNWWym4L5/mEVs2/DNY98CHc7GK0xE7lXZ6n702qBxeDzABV/CN4c3R+LD MHtNc/hA21/QuDBEt0T6scHOck5brJek1iaUBx0v97XaRkiDHoI6ZFPhFq9Bh6yfrWkLLK+mQWU3 sHngxKmsDzvuD/xH/VbgAbAc5uWEzMUA4xrojlYFMgV2IhzRROfdQGG1nTNXEXwYBWcW3dPosOkM 3lgDl0yldbDRbE+Yy2Ll6RrXN4nzMEpzkSFHk13bP9HHieRAl60k4/kHkCW9HGV/RaSIXl/dUiM3 cOxUlqqGWijrjsYVsASU8LsU/L8aJyTM35psKMiIujhzPuhoIRxUEM7MPr2SgSaJ+uPLEmccb8AZ GFDdzJWY9FH07WrBHv2rgvyiUutvzTtahmUOOl87o6btvjTzl+x0TTdy6hFwlJCUeLY6NFuxIESu LDYT3KkSkUJuR+nxDB+/CBFTjMafx3HJ4P5qH+ypdGA5yZoXHQ5AuaH7fpHJoEbpILgUG68UqlqL RQ3MwiVZEdbDrFLTnauczIF3VihRObh7/3RlQRd9p1vX3pOT437gZObUNah3PBYupMaMX6vBKJN5 zLcpQy3cZ8QKq3FvZHs6ZIgQdqwN0nYARY9OJFXr0X9fHciL/3LmN1xIVYuW+8TsuHaGohuH8jnt +llElhb1+xm0yAT8DjhQ/5MfEcM9GZR76+tjRLYGKi7wS9NbW1xwXfe8Ly1QiA4rsLhN6UWE78RK /0KydwQquwciD47grKvGt24mty63Ld7VwKpK655yYiV+3bYvxmd7POLQ8CMZZvjcaIUd71IFoD5x 5pw46aoU8SbfEhJCFF1+36Bb2M56EMNKSFlU3ReNNKlr0m5ld9msgzZfBm+nmVo0jSGdms3FN44M mGkTkRrmp5XUR0q7fvWjvtQyyaTDSHEBvTqmbTKFOweUrzIv4DcelWe1893kam/wE7bk/ydAnSXn XdkI/AwWEia/Ob1Iw/AibmuYh1oYaIJlg3MUqfvACd2ZJs9j3m0Rn3FwrfMAxqVSWwJkWRW9xWmq XY50szZxptb8wVbtGjQeRzxIxofA9Jiq0Pskr+VsNPXLa+v29064CKEjSd9C4+SRGV8oKpklijjy KtHxuBG9VIeZdUWw+pNdS0GuDEAys9h5Syz8nopViO7WBawX+OGlfnDSdqMud0g2oN8P8Yfm/ddk qLYo5gMzLlBVN1PZeVPS4ml5nfe4Yy2l8ZOHqY2NRuaxoqRI1BylSHVRa0czfLPuA/pranf00bfc L4F/MuqY9SEpT1BzXghcNTByuZpQLH7lnkrIhjuXeDCrCYo/vVgDEN7oXJv/uFZ2BbeI1eFWOruh YD9HaT5s96Jfyi2iZNp+ZG+ggkDpO6vZ3XukZDNK1C44BveM9qGvJUt3yz61dlEB2Imz5/q3zkZt osL/IOc3Ul7voYPfK4xe4mFh1K84fK+lDl0QatCJlcQ4OsZh3daUnfqjdM5v8JxXY+q5NMrATi81 lJ62Lm9AS/kKCPF9cI/pMi1ibFo97cFIhanEOuOM7jneIDkfHn/1fyF+ZHVUZVVBCtcYg8Dq8Mwk PU5pn2nPb9lBb9KlkLCC+swEbqpTDuVckxL6dD/c/ySNp1FVpBX4y1qo7N9d4tQ2gPlsHgGDJxMg wk5JNYU+4FGwAY6LtTFQPnI0Z2Nmixa0Z/UAg4qe+msIGGAA8TwXu4lSBPoGymeN4w2CeP5HFvIS 7JIDizF/lTEG84p4lUQoxcnNxN3XNWyPG3EUxRDLDFyy07Obd/lvsHgQ1TfI3xuvbNTlMcEv8g4t HxoOhG/b+Prsljfl3RlN1SBYfEz8QXuRnOr1QDcVvPNTMuJ31yO0EWFjwqE60GEcXXhBrZu3/CiW tCu0Me74bIQG/e1MM2VXlBPKn96clMfAWJqD3lD5vW6T/3Lcfkn9Q0lDBEQrTLuT/2NEMnmk42wC 4QmOzF2cJQZ1an6SBY1dqZuNtuSpKcx2VAjBcJ77aFpoX5xM+odWiWMT041CNX/J+wOs973o+qyZ IrUDWFYjhwETnqReN63Y0pHmUKb07Slfn7bd/AUjXNCWrZ745zm9w54oFp2EQPJWrU06TJSvpdTp Ki1nb4jgaPdi0gJyu3A/k41SVKSorR9sbUVDTaUkJU8M5VmjTpudS8Zhi5RAqQbl8BFqI8BUUBR2 KwtSjnt9/NiixNmKSJWJmLU9gqbdkj6ufXYhRGHr+QjWx7zSMkaE4XrMMqwVLgZ/c8IM5VC9vXig hmcW2fYT3xZ+6V1h2W8iEKhPZrCNzzBsVkqXDKLMKYPbweChA8oDPQ60AssvrMDxtNXt8NApKGgG BkqseqwzWXRmpewY/gaymKRSZf/mi+ea0LvFHt3k+d0DQBohlVHM7DLZDVWITLo98R8XvWfTZop0 yzJL6oT7fgcIPlnN9V4Fpteyq1ceuXcSvABzB1e8QTy3IWj3J2zIz78BCMtZU99i89YTTVdKJQ5N fqHQyeUntfmhxOhdAW1koKr1o3XO0Gt6I3w071hXO7xPoo5kI3X8H7acd09YgOoGuWcc2WwiJUW7 rGCQsb6A8f5Xa2lXO95y5UEoo5fopSwD5Yp5rs+AqJ876yuTovRkaClOQcSb0Rytiy94j/RtdymX hTn067h/kGQP4Y5sAoYa68N8LO/UI9z1lW5OCwzlHoF81G7XBnx1htyTq6xSdl8L0FeLRp4DvsfA ytpEWFC9nhHzcv/WXkAf1EkyaYd0gHhI7YXE2SVGchyb+EOzG88sSM2o4Snko5PdHL7i64cLzFwH MllJzZRtCzwqXue8X0bhlsLOqoK+poQo6As2KwjcRoRLaATFaXLeq3ZE+SJ5sm7xtVp8QI5V+Lmn otVSqA/R/Bd5in0gC59yZEGkEVJEsgZ49f+tjgo6AdIygfGrgAPCcYFRKndfvlOeillbeHIwkmgT 4ZbeBvEiIR8vhyMPosGz0Ye2RFKyXznbliSNYQNNOcgw8/cLTB9jSOwHE4Te16Vtvqi69jxPT1Lr pOgY/aECjduLJJIXc8oy0PcCLZEj2gkl3mZfOAhIoDWVRbiQkjjxdqAN1Zjb5+/2QOvOeYcs6b05 5fbF8CPB4iDS2Y8gp7RLXr24pIgAbUBDPGyVoIhioGGuDTSHM77m9leXRHhta9qM7h3yFs2PRv1e kKGK8C1lacAsk34ODf5aIZ9suATAb2+1l+qCnMT3Om0rYyoZzO8Xet/1SIkX28T7cQL5yVPNzoR2 vsjlgSrc4KXzgtpOax3owf671o5lvFbXS8LArI+R8K8Dx3x0tQxL0q5atX3K6EqersSFlpdI1rXh zw8BTHB4u5UOnicmtWoqzG3Q7buNblh9h06SuKzoiGTNEcO0cwulHt9fFaExjKL8xgHS7W98HR4d Rh2uOoPEr76tOYzNBKfiXWPh7eoYaKq8VDJTKNVTImvoltnoe627Rf3zCirZQGmGbJisRWCF2lZG 7OrLEj7g4+ttNzxkHtzYEAJiBW3C+6AnToPRb5vMmVwTv2x9MmaQhOeeIB5pMw6RNL5wpqdS50jt /kBuZYpTBiEjqbc2QrojrULonclbxRTnbbe44XtizvSus/bbcVmv3hTMZVXtSX4SIfylk6kJtfM1 yn+rFoHMjbo8y8LwAw63v631FKO5rU2w0lkHQJLetZWqDtiGXVYPplYFcyybdTjwsUN0Rx3oobX2 yljH+1hY0XErsOwLxElCOh3iFT+lcImXqz2BHMRqruPH+9WmwDGEhJlJrlYXwanGXHfeOyL+LSH+ uKsKXvj7LJP5OLwYzVJkmBYZffoC/3NR7PK2LG5cAxQ3Icb3U4hntjfY5ezb9SJRcKoqxF7/QzaM T7ohD6bJKs9ITIOiLO7YcOKhISLgQnNBgXrYdKD4SdswzYPVmcVY+2GNP3VQfIcMw44qQtkdkXNz kIQS4env/CzbFnpVEbjgeG2tVyFAPfFFB7+9zS86J3VptbxS5/tqQRzxDWhUm9cFgrLzO72ceLnJ E7EToaDpLwNyRNl00hpFeJsvbKytUye8+cKi7kfm8bN1i0y0UmfIBYlI5A2LYJvSYA0abd0qfya0 2V5cO7nm0WbLE5n56TH7MaIGfGrbE+LfeSxovrTAzIk+Trhhx2xk3xo/ezc5N/1jcjEQv+yR+Cga hds9WKl734XnDCvE6ZBFA9y7JLrMeVgK6j1GGlrpddjfKjj9tzTGVBE8+XzhPomQrCw9TCnDyBAV UDaFfGRM8os0FIPXR/ws7aWr0aXTpRI3I1KWvqB5oe64tnuBca3aLOTVHM4oMh9G/WV0LsURGqib EQbpo8qBSOus9ihwt/y1ZSz8xLibZk6Ka9kAlqhntMOVuMvnpq8EP0NO879/YIVIfGRmy+H+be9u 57q1AvRyZFVTnGFfNUQuFnTwVk5ynyEn7qfUg8dP34uicy/RKVQQdrZXWF7qYmWlngRxwd+PMuW2 lmkLZRaGiKkn13IAuAnKVPxcYmF/vEWbSScX0rmR+1LEwoYdPZwC2BWxa8ON/KUbtQfJ1FHGaOa3 TZvuBQXn7B5Ywj5ByHRDaJlLOgwCEwGA9MepExj7frTlX0w3aJOBTgCpBBqKIHzqcvzBWFB4peDg 0zfRXWtrFnuxFkE4TTvGzYtdgXnYuCZPWsfHUh7ruMyXjOfu7YJ9caWmFsgsckpER6UPDfS97DDM e7fsCulKQbwP3qaLaHA7nzmJ9m0viGtA+r22pu4k28lyPK8A/LgYN/lOzmCVE/+4HK8tYD5p3mB1 ChzI/CwIpOh2utu0mHtaBmSlDC9oiMQU//uuw1lHB80H+n+CIjl6qjtIowniRfLfUDLtx6p6QpxZ AUruAPJ3q4FzQu8t6c8+XWTNPUciY+15hY3hIU22kkUR8jP1I00HH9OAB72CGoSldjL1D8ZkAqbl CCtsU2xvcXlOYwg9KKvcSywJuWiXnL4fbXwQWwRTi5Pp/ox6vU7XO4H/Dt0A+gxGLpiTQKneiJgs GqqASdvIbG5BEZI4jWGSN90F5RJUXRFMzddWiv5ZFi7ypzZi9RqIwgZ+tePoptE86322QqXM9UJz h+uhOcnnNqmCRU/CEosgHaYryN6HRk9k871Aixb6oIwTvgua5SEc4OvGkiKOUZVuEWFyDkKntAJb D4FecBK6DBi6fTnCGNEMmsHlgIDrvmHMPIAGLjjxF40Z37Mr8gj/DZPK+tsCQgpLDOARZ+DGKtfg ++Q8R/HVAvqJBKE79lDVjGKOhwPo9vKswVzHdMjzBlB0jBtIEzSkd/lPlDZeDevkKQzeaexTKaIK 9UD2JM40KJDZsHl3vY97Op0NrfvtXBOFIv2MPywdz9Oy4QI1gCdDEQ1DOXNpIXlhIgY2NUHv+biy Keu6yCACp1Rdirvrc1q9XHZBLB7YEEtVu3aGYJrJJCWVWIZaiNsTQcoE74yX0Y0XDFZJB5zcB284 pDVh1mBzoiJHZ/xC5xZ7EoTpR9DhQSbxHo6bBJXG8HHvS1mv09Kkf+qsqSO4ApGrJkz3MWV2OXd3 zyJf2cKPkmd+kLPFfk/3VEUQcA2uYKu8GM3n7HsqRPv1+rKVRhcc4NJguMkGSmHibLZHoEsqzyHf o7fgdwIHrtyBxELMr2qgizPR/nkAX98cVh+o/uz22Ha/URw96JZLpvd4TLbSlvfefPiccTLDb4Y9 HMgS98Y9HPZVKw14uFRSDhLHyXSREfGJJKdtQZVVB1dGfqD8EPS53XIQxqNe8vl3gdSLD4gyN1MB PhTVUOLUj3zzQbqZxj79dFbN0Q1gatiq9vGDsdBEmyv+zVFj34nkqL0qDQrOh7azSrsetjXriEBC VcSDGrMfbiZIkRVIdemn78SzEae7uOrAp//x1NkWofCwvqewV+zpFITH1wcuNjhwU/FzEnmkB/lC JW/dSpEoUmuZFFP9gVBTh6cuO/s6s8C76FkwW6g2fBJmwUC5lwfJfK2LTvQroIFSMw4Hgzc+EQrZ 2rvxOcnUP3jQpF8YcxzVX3a9vQECLfy0Y2eSZP+SJodSNi+m2qqH+AqrpHxj8FEoYUOhZsLjj6AM L8TMbAVAh24Zdzl/lVDH5SBUW0cDW6/OHSVZRP8XjqsE+M8TXt62qspRd1c8QhP1FsTqRmtdPBIH iPXI6v4I9Zgay0bkvvYIyWWbc6lcHDeG5iHiD0VA5L4QKfwMlRGG1S26oRrEG4prSchhFTk6wUpi BA6Y3+I4eBVElNKJYsFzcZvJLLaGHF1F4eb4OFT8p2X9nTD0L48/iuQcOYtBxbsjGeyKW1MNvZCj 2GTtkt+9bsATN6RvChqcxagUGjjsJVf4pSjuuGqy8tkY2lYKIlXIZMtSE6z/cXee54zNbbXuKpr9 bAq8eXQ0WO/ivJtKuZwEaivCqiFHt+7YNpJT9HQqpVeWksYV1BphsKETlfF+AxcD9y/Wp4elzTqJ VaroH/03jA6w1fKXcExZYZ/5oDCt7qwaMVvTw+N6lIgSRe+dmkwfmhmEX0+GKtjpu/9tgUybkGyf /WVhpwJ4s3jRvHk6HkKw0BTkFMWSj7fN7OJmWzlG8KJvRnWxejk/VZElkLUFEzsURdH6VG70QmHl wSl86i1+Rc7D8F4i66En/XmWffdDrXnsSOSd4taATYNu56Lnta/3EhcPY5CWbbm2zrcbPJpqgx+Z KScbCAVNgivh2TZoYJ7EsterC2ocNRNOgbbKyFSTwzrotGSpnNhUScVh6GdvujW8XjTHlBYp0SeQ yV1oNTMfwbg42CIpICCNfePqaPVBUiq/JzNWO3f2Ve103tuEpbi0Ju3l5RzQp7far2zN6Ze6A8LL zkXSFK7ILdOhL1PMOS/Wt4EAPdle36cOhT5ml5EZuxPAhN4XMYHVeJBhRfqPII++8eMxhVb6sDju Ylut78eFBBs9UOM+yqw1IgPCSDa5edZVSsjT4V81cTSQyUHUSt4FYAhXwgYd+2d/z6cOzvJtPqBx ZxAOk/T1S4+2R7g7bez9J1T9LVst5Q4q0P4oWV/gGtB81ArbjUnes4/W0p3FQwXvfqESU7i4cfE3 T3FhPeit2mY71/evBX9PAtfKIey5IICI9Ocs9TYdBi7yfzDPWiV8ImFc0lUt8ZxezfEEqYbCNzZm 9kPz/g76CAO2NY9I3/ytCmwaeagiMip7sdDlHPcClVeKUXCPW3NZPnDUqwLcrbRRLGx2WYYfr3kK cJCJEyyiHKFcBEz+sKKnSkkUjBWP8lVxLnFQCePmzDKfx37LMaqMRsl1uyGCIxmY3evc2xlhCr8N BYFqTwERGngl6znnJvj/CkJ5TsoHh26zaDkG4z2i/wAx63+JK/xWrbY7dCi79LZwASQSZ+7E98Qr y4KYBZMf8Iu7SmvmLNjz88lkYvyXHinJZ+EDYDVPT86Hx2C8ScAcSZLO628wD8Zs5JduI85dLVWo T34cWMrNcq5HfqhPQBeTnFX62STeqXFqPyYFclvcNtycO1JDUClCGJ5m1ZWcdjV0twVG+5zYez3l ueVE0XOLbhPH281Z1I2YohVwXZC9Bb4aO7UIGZXj9sI1x1duAzcXiKGncrOKogTF3IyT4A4d5LG3 WJjzS2ZJOjtKsHkqaGtTyviFfhU+KgdAplLdonCA08q96hHscX6mG7WNIX650eDaN5t/0CZRr2iV wW1kCBW1dCKgPQtcghjvmU+47cYfkz/Kgcg8m+cc5TW2Jnex5293ukslsja6d4JcEZMVFIuoU17M WliY/gDtv0rId1a1O8+6dfyz8vQPYYNZnhEdGtc5/9TSGS9+2VWRHIdGn7yXqP9WekcPA5mor2HA 4hIBNJq2Czv+TLRDnl06OPaV1DAFXr70kXWSF/e0KjhuFcb+F9Jms5MtA+8V6F+wcfiMFj3PBkrj ZBTorYoa0reV7Lr7IXXazt1KJMhT6RgDH1PXfdjPca+8k128v87Y+ubxRjvNI8QhfLkU7h4F9Gle koQFnD6x/g0zOyhsYFz0ln4jQCdgLCq+2O/PkvNXmOlaroiD/O5VyV175/0FUoRBFk4RNBUnHPir mbzK4J0GJsYz2giyq/jebdAbV8TZXAI4nx1PDmteOneL9NopfIVk9XOLKOkvk7TiktBuLOEQg8Ww vC2I03TDl7LymMwYCq+WstEneGh0QVFpzQATZcttNPi8twbndxqeHxsk5bhLaGo974kpa63ptBPe 9ryEujbV0VpnNHV+yTlPyeBB+hqlM0ob7tkcIbbpwMaLpB7XJzQWDON0CFViddXBnd6bvx29Wi0u JYWPoR4d2aa+4sU2+rOlEDU5QRBmbPHXncub0hHGkiYiTzEjvRElwXvaQKSMSpYRJUJ/5FCXxO/Z BczoUMugvZvkWzWv4DxXNYyyLoEh7WZe1eeRunAUvl5HgaRDXBlk9W9EpW5hO4IzGOjk4AhsIGNY rmDjDqGGvJrw4xLPEeGTsZHq/Nwux2RmoyYVrbdwAqr+AQ/bCO9pZQoRxkok0je1HjKxv4s0/S9v tdc7myXvEwmMGk7FXABrgqqmBTe3jSnMM1kTtneglctxVNuORAhuWm/kFZe9/cAPDwwVtdPqFNOY DMyIBMuaK0FX5zHFbDSDVrxBgkK97/02+w8+83/KYop6ipnAJkePO1T393TtlC1ol+sl4yoSaq2Y gF4lhCabAA1GAVbnYimc+Gj1YNNPQ2bGJbpNigc73pum/PE0X3GS25SUnO18da5Une9X2CbNxFZv e1fphchQIVsvW1vlkYJ68bxIRyGxKJbNX986Bvm/LOTtd7AtJtYEjAxag77aQ07JtjnmyI6ahGty 8tuN+e+B5k0S+CfgakpySYaRrJS1ikCJxRfUw0gZcgziPRVOORoBKoOnOgnBeDXBr9H4nuFcQPtt VMinYzcT2EBFbLNt18d7UIO1Pc0UMG6E5AorYAEWRfytpCd7I8aCZk81N6E/yg34zRY7VuYOq07t FJ0UizXXkF2L+S4AhiDGSoWvXgaLZb99PkP3G2bE55s7amc6wV9SAsEleiARooCOtSaFtg6Vuxp8 4Mf6sVmqjE5Wft986GKQ9mqT3u/jxezrn06y5AN5sk3Tk/eh+MuzvEaqf0wdsHQ1VvVJjUg9P0W9 vgPe9GNzMDFryXwRqkuAxWUjuCFBv+PiM5SuHip8KH5OPASTNY4ioiKxebZavhdzDAEReZwwL/ks POgv3uLG02PsUmOmHmn7zkQHwGSV1wigre6A4usk6wTlMD9gnRXhjqOAoG0KFirt/AguQr7GEbLx pPirWbitKeBeve5Kk3+5YpytKkj2gQB6j9FqdbPl7XM5AZU2UnEDHwjqJXeyH/owomqa/no4CECJ Fb+1tLcdSuU644AABPTiR9CJ2ZUGqNRJiTHacuT4nfWN+f2uyTi0c27m1OcMcZ1R8PUd0cw+Y+fD OFe/i0UER0P1sh31k96zb+M2xKc7sVVEmn9bsfRSb0g8tjW+pa5aeEgFQAjpIow2f23W+4GjfXsK v3uOxgWYv8P8ptTMIKcQyKVzz8E3k+E5w93DKUyacZaDn0RbUaTz3d03qEmKphsnrJ0olvC1nvNB 7c6heIIKo21cObJscSc2A4ir9dWW0Rx4HPIAVfR0WV+H25zAqiykkcNbc1M022nBufUo6zPHoh+j juGpwwiR4FZwENC6NoDTQ5PpG8c33YENApgiG/cqyriUkYACqDoURYXYlf0i0MrpiPtEhVQRKmZi aC4/sbLfpTCWcnXJZYAEEVHo/6+5t4nEyx15MxU+cLLXTv8GQEjqlYkiBWelTBicHmzIAn0rg8Ja 1rn3HutUxO/8bQRsZuiXh6eHZdJWAS8XgkDwNtHqKjq8FWLARRQiurIi5bf/m7YMbfkF+lPbZ6yk SV1oXHkPwQOUwRy0gI4MOpmrOSMo00TdprPzSr5Tb6nBo3gFu/Evr1uxSW99TI34o2xLNEQKlzji gasrYA7HXK2BnX8UBiGYFj12YfhQK6/erJckgiA52pJfnLbEKlLsZ+JQ1y6sRnDY6E2q8qJSTyzy rxZ/yf+oDG0wflhSFWjnuL5rK5xHWB0wG/vrmCBNXKeICnGPi6kEdwi4gw9jxTpN2/HDvzdSW/kH //cA3oCiKz/XrMWOhw4sUbp2cj7CdYw4wOahaCrrgkX3c33/+Axvb4owNEXJztOrdxcPxuHJ4UBF uaLmWpqVv/WywY0zPDImkTvoX7Gp+kY545CaWDTf5SidBMjsQFmGZUy9QoM6A81m4Rbo1m3qA/6K cAK07GlIxzZOFryWz9NLRFUtfVUGO607Y1PTO0F41qjiPeXoQBjre/LooudjQUL9c6Fhaqc3yoBK V2GHeZiuukgbMbeWvBBLJV+YynhSKcHXWpQ4HvI8w/6PJH0IBELdo+TXzIwItzweHT/hwT9dFTWj j1fpgxCud0s9ZKMuTzenL2H/jRFSzBjcbRG+adesEDkXR63nB8OQYBslA40jixwcK8YpZEFbQ37s tgQXaqOioq41mDqgsDZQgVTrgjr1ceqVDA+hXndIq45renyaatMN1kSLy1RlTUM3yT6sdsxxcTSR XVSWhGjCGLsdagu8hrRcUPkeqgxLAjYwlJ/DVs8gytZTKCGg+CEwiLIUxRcMOFMr29blZrUEfYB0 tVFx6/uBsmb+bBoN+362mUhjPfSDtR/2PLzzaLdlvlb1eAsj2iTwTV7n3sHNskHXeoW4vyRqu1wZ tcc6fT1ObZK2nWuxCQ9lT/rd7dftaw5ntX+WwgvTF9eiCzmlI/MtjKt13Yz6NVMYqgxVfn8r/62I JpqSSCmAesWNtiDtUogx0f/CQT6aXJpFdlJXbr4frUYwjDH5qSvj/WoIizX+JKh0isXic0cNhN8Z 0njw+2w/pWk5GmZih49+aF9n+CX2ZdVJs/CCrqUCE2VNnhfvDuwKExVJt7KC8ztHxb/qRA92bUB9 c+uKEcTTyRj3bUJVXO519p/m3Qr1GYN9cONSFfklzGisPi9aEnXZlTYVwZ/26BseqA1MRRVXTH0Z vu/x155kBwf/SdPV+Srzrnr8MCZ+viwmzEXLlFTUTRN3YGrNEEqxjBOf1zM/CTk4yexl8s1YTy8k VxkmMD3i7GNLOyMvy7TfQ+sYn/m9fM1Bu8vyWu1ZOjZ2Ho9BWP4x5xl0myuW4G2CGByeCMEJAYjc FNGGNNuAStY91IJV9WeHpfNypcqYERf4EFSmPxzhRTQKLuohqsiG6Daf759I9dIk5VHER+YHk7EN UM1XKSRUhklmoayo3/Iqq81PWPiH5nQH2C0IqVojytT9K8g59Zhh+qnLeTQra1qz5//mUjTRy5W5 bhLof7HAWqNAdRKXV+3YvnwjwBP7wDEU8bFKW0WcCuRgB/BTTxRw8jdh+6fY1xKgZa1SZOZQ0qwk MEI5NdbqgDSmQf80uSS5HbWrLls5RkxaspAz428nRK6kvSiafm7XOX1CPLo1Mp2ZcKaq/hUO9i/N FZ/3wBlVO2a7VdHeElvxgn/YoIqa3RU9HS0KDPTmsHqgvc/1+PbZD9erkgedopT43H4CqqQ9BfnU kvQZ+t9+1ACx650he8xr/qkQeGAyWwc89PCltfaYp1w1i78gpoNEw5kxbSAxhRBvWLjfcTI9b+SW 6n7i3Hhva4iK4gluV4M6fZHl32MRWKBTwL5fKTTUOltBcr2SA8cKS1dpD0RyqvfGb4NIprClpvdT 9alGjZVcbFz+SiZ7btpt00IoVP6T2b8HHN9fotkwehqvhDdwmKBiwoQzFq5rZLbqdoSqpfmF45iA TTKWu8hhrjPnLfaMuHnVp0EVda8m+v9nWtPPBUU3HrfZpgiiL25MhnshamQ7c69EwwLiDppNMmhS iVaksewo+Eku/c67uNVo+l8HBEm+NEETZhk12QN++B6SQ9AwpNEszerO+sl1sz3lf9DTaaZpbCWl /g9E4/CXO2i+u0K2Ah2hTGGrS04ufTUnJ8qgJQfNRqVDsLj0qGMcIXtdLZ8cs/wrtL7WJUoNl6BL y6+fYQ8d2xCifHykcrNa6hs1HnxI54BJH8Gnjc++p0OjqGwyIw61i8GrydbnzLjACwstOfiuCG9b 0AnziNXFKG67mSjLQMjDdlrEpZMfmFe3GQtmSCHoYXgI70M88EXKXQza/dBSIHZfB74GETgdkBu1 7jO68p3NWb5x/isLQEVIgGglHlJq2N0rzesNhpBZXvOwIopTAE3ITrT94yf1oT+sVDHlMHuY/9Mf jAFPmGbNN0iF2jB8HgVbhLkPefS/eiQkgIXA2xB8uR0JeE17dGNWu3ccvVgDxtseG2QQF1oZrh2q 0CpfHaJZyGG0IfQ0nZNfq4gEdMnkbY1COp/NITDmnf7uZ/QHZAHXAQ+ikVesFIc0jCL4gAkcnRK2 CbClzLMzz3ktkTJImNxgZSNpUgqU2rhR9JtfGfcky1mOq0fEHvQ2R5JnNF28iUknwep3Fi12DfEe /ze+pF2vVSz44tg7cC91JrSCJ5OIq1sm0d68cNZYoDYTNC1tsIagLaYz6fZYm7boDPhtudibWbTq ZKVoUCWgYhPiucOZziod8O1SU9IRMJ6GuP29yCqe+dguRuxx16t7HCiz3vmXNvE0bmhIdkyV6A/n p5vFyyxM1zX733FOCMv6+KkJPvZ2FxtCg6vhkvE+utu+O+fNNWShfn/eZrykzZUDkJi9Ozi61hwt 8gVSxpRtJQaqNmFP8lQIKmxZobEoG5eubZis7G9QvE398OPNt5A8/2ClRWV0DJPX74YBARXsaWET /CXpNfHm+GVvV42tL8EBGup51j5KeLWQyL5RfQWiICuRbhjT1v86wcniYToUk4i9ktX00wwEcLpE ysxGlxHhOu2zkNGGaDvrkJLBpvU7ASUTGeHRZ8++jKtY1iCA5NfDoDh4+V/R3jFqbj+of15lgdsr NLa/dzZ8as/Q+gKOGTqK/DgBMEHlf1wkB5d3jdbYkBjvyjRn6ttCip/g8r8UWacba0W8ubxIVpQw Yck2s7IhOUfX6WRxCI+dcLUEy8ipzX407mp2iN7OxVPPUYXEP1kYcsTn0LH9FHH2ovUmVnb7HS9j W7oNN1T+L3sE5Kz/EvAzM6nTbWm91TXY5YrRXgStk9PsWN1eWXe+mZLNFJu+aOb+umHQR2f1zgwi Cvll2U3nISofsXUwBNzZRYXM6XTHN3T0KcTtvMzcKOk5QRq9ZSfMwSQkXPRIg173v0sJndKbP5x0 QSBmIZLSqU/LBLGvOYGv9glkXnCZce8/otPM7nTfJpNiqTkYU4T9dmP+YdUDDOvia4NPxPmlT1Ik vN58/LkFSsPGzbjYtGt2Hic7QP72tsuup9q++8U0oUUbDfEedX4WcEYqU2aMtrveFKwddXBQsCGR /dddhOPNkMA8s7zEPjEWQwnFGx+wnqRMk3lHokXXm3FDVnnJWQAgyq8bbDjxyBETowAdMvuYabTp NBTYTuJOADgWO0r8CKNF/QUlNTg9xPuQgDlDO+mYbcKOqD5eC01NgeLYRXU37eooje7t0LVFiNnN Y8GQK+6pi1B+xNqyU4Cc7nz5BYcTENlD6syuGR34MRmRJ5QeV/+4JyUAe7KQ7lf0f4C9V8ed7XkT c87DmG1WWJWsY3j82a4shRmFzuRZXMl+fF1+PQs5hEynyUcnwZIPjGGfGKfz/w42DO6ge3lzFdfk IbPhCO7ZFCo4oZlhJbvG63lKaVt0lOIEP79pPYny1Chl1pA7V/zGL3Y+fKBosfg4rKQGq0W6XkET p+KqmPWVedf4CPZqEjHa9I1vaQDFqrriw5F0Xz76BzKOkK1muRb5mtS6aT5PUqwJKOTgDZgUAofI x7jSoVInfkH1HxUnulGc1AtQdLUMN3eXs/MJOhKF7XsL3Rx2BL9GvQ+0Imikuk5IUYQxLPvlYh+j LTrMqT9VN/y4LpmzymDLCqhBGoISunnBtmC5/IO/VKC4QUHWMwMxmV2lu69zrxKzbHC+9DfVE1lu xN0KzAYpT0sdePfixVXYYtoMw1gv97yTMsUkOsKEJpIZJfwF5uX9/UBFmOVhGzWOoQinS1I7FpuH tCHOsyRWkzDQ7sYe7ois4w2ZC+xztV2Gy9XJaGyiLU4Gu3sT/aLHe3GnqkUbXe9GZjcT73uiT92O /Y5LjvyGEFm0sM/fiZZSH+zExTo3H/SuyKBhU6MsVJ1jCv9AQ7b/M1sDdN/jtDwULwXaQvDl/Ukl KOEL1W0vmt8mERbkpSOjFI2LyznnwtoQ5nwlTfKcDJegEYlmEiQzgDDMx9bf3Yg2h8evlEyp5nyW SwVkwQQQXNbg6q5qysMcxnNfU5l1LR14ZaRE5MbU+JtALlYkA9rvrql++L83K0X1kHq3O9XsK6w9 rftlMWv+3wuuLhFaZZqcIGPz8E2NaZowTRx6j7dsnw0gqfhe87JlOXDO3MLoIkOTKQwsDygmE3P+ iLLuXN01y5U0Qm0sNzL/B9GITu6nWJhP++GZcuUBCvLE/4877dgIrVvAuHVBpHLQamnq9ur5R6BG rz8fTzsABzPL0fTH0Qq9iGOapBq6NaVum5PQaVly6OKtUTYCMrcSIS4NqHs8IThIa1adgGiJrgO/ 50iX02VNQXIK85bcjmmEYTcvrkm0ukgvhXKaEmoTJsA5DYWo4Z6uJARJjliqy6vgEqQDtejjoKyj 8Zow8R8hvwyH0U1JnhB6iqLZiLF2qDlbnURf8PI1IlYSkHIz//mwJyR+Nnc+Z0mfiogXgVcbcAr9 PV/easU5rwrmLbVkuFh/4T0f5ICBt97WHA8CeHwAdGgR6807En2a1keoj7GpQY4u3tp6HD+85cKb tYFNsHeSB+hAwBPdaLF3LDs+8iHncSa301nZ8PcJam4DkRPeg6ypIg4IjjqCvrtXtjud60n2Slxd kGIb2TlGq51vDbvwE5hvaKDrI3LtAYxoSdvsyzmuWFnn8ZW+6mKNsVP2rcJwG1x0Zm170cO4PyOE D1Cp14s/BhXw5qICNts3GSHQW4XAyAa8n0Bz6r8UJnqoDidEY1ECdaVZlyYaWLzhlflWbz2TVkTA TiYaUaSf+LzLOIo4PZK+uPsh42PNj5jiN2MRg6fwiOU8jJvA2yzSB6pq0RW/3pJlRDI6nq8rukC4 wvKJc61Su/gkGqHDKyzmLc3wELSDF9doSl6I6dfEK65Hh6O4bDMAlvPGEkxPRoQTxwnIPV55fGHs oYSlQDsCEXLXkpST2saitog1buNVgXzcRwQ3HMSBvlJMi69XXGo48bWWtsd7DrITRFv7zYBwNqLg jDI1nTWdUJdMUGOy+wfZy7jAy6CvUzBi0gjjbtwbWLSPL4KgucrfUQPVyW1OaYRFa1ksFReQP6BT mAYKTnCDlZyCK7VOuATDR8iqZxknaO2wrcbI8xVt/k8Bi99nMay4niluMO91geCV8mm3iMswp+G2 7EAAaA8lTuO63AYnjKwltfUZHPhs46reXD2tUNLw30h+wkXL0RLHHvSCH+4pEAvoVk9yoSwXYMYI 0u+Nls8RNuh8APIAZi64aU41uwa4OAV2J4rUu3wmwx1BMKLE8ETrlVgJeg3ncOgQYNajg502s4aR zpSbF/7d2D1IcAyOiZVoc8AqlTIE/BDtCTmmix4xH0SrVsbBd+SdrpJ+uB7TpotDb6Mh9/kOjd5c D4lHsdM2tNTVkjmE61PSfzmuoj/3eiMFhB/INVugPkrCArJ9FA78eppMJoQ/0H0KjrkwVSCM1227 seHs+pd4/EYJt4UEQ1XIZe+/he1k711J0muM5rMQqtSo4xavOfQSys1tRJ26VILcX5VJ/bqa6o5T f1CfJM1LWMFLyYuTUKUfM1DP9RxLHYqRsKJXnGaLweoyqP60wX1Gl9CX1/DckkN0nl9xUfiSItO5 rOKtvnbWzcKuhxarySzQizhzDRnb0e7029g9a5jXinxoZGcG6ot0U+4h3ifZvHJsH31r8fG/8Xm0 1OlzKgPAGULefyJAzJGVkrNK/ugX2ATZ/TttaLzxRhSw/KfnmNGuPuQJvrtJEu3sTGY/1g20j8cT 7MYbqlxqCLG5NhqgE/UaOTbmIZq4Krt3463gN/4OupBdJ//IhSOzPF8+zhh2XWsSU98MlhUigrmh dCdkrduyEis0dIz2qMLlsS2MpVzRK8aNCwTph0WmnY1BGXLTBxYboZf0/fdnH06HsFF/62K6cSpt vpWiC75uVzw2vWWLSe/h8M8zJ6oCSSAQmGEKHzNLzeK6bQGhgaK6XRRmCE02zAOr2RIbt2KMvHy/ 3CjCj64X5dmztzoF9kIlz+8Lunq6PxMf/KmtDoehWGC1o4DeA+zPGGd92vQYnArLCGsBFhlvo5/Y /2rt4jxOG8jq9dhMT1Ux7Gy27iG66t7H4aUaJwkwjGHzJMoCW6PkOHWTZl1wEf9v2FBirGt6n215 Wobeu50srU3ESVsUj5WWvwa26v0UY6hv0vLV+iWMV1BThMRf9tnMzh4qtSG5tS3woYWyowVjkBqq aWmVGZucFulmQ/p8wJ/6NSrcMCerghpLGalGPyaJYze4UC+jr8Ag/TVh0/V61Rs44wSto6LWaVrF Ah43eoHEYXlud6c14/ljvqepHgUzgPfEV/Z/fOJKQMNL9PYdHBtdPY8TsgfVyr8aIsCNjJUgLNf+ eYhTonA6w5BohjKe3egM97Z+wTz1C3PjHClsNaCjXm5Om3dcqbzfcDlOF9nYKx9kIArYkBCX3WRf vIIBqd1VnTXFelKw4KYWuucC0p4ZRqg6k2aHOxBRsfP/hdInv2Gf3rZ/QSJnAFwzBUizvIGP2pzv 9/XDqr9bl6YDylYIsj15YIrXbjUHL8Qu/PIyPmjZV1dMLgt3f47WUSlPcgnqMMRQ00hd6NOsjbQ3 gmlDiOnPeDU7oKucXfwtPRzg+6L/EhbYJOAJIM4wqDc1l9MyLOGeHIdwS8e6dehsZLcGEHcbMtf5 SXYRzkF3HiypKksFVlW0zWW70WOqsdTP411dqKUaCg1azAf8/fvH12FwOaE+WqBmwA+APn9PdOC4 HXDPdTqVnB+jWEz4Y5ROthzMr/duIPevq5qgowGtakaAk0FyBujs7Yn9TvTHTo3TyZgahJleCu5s mssEJNOs3hY1RD8th9MJEc4Tc19UszHdOca7njO7SKt0KpvD2y/e5+S8d6cQJs8qAwn1szDs0Ipu sBAwfydrRyZFSxqSHhK2abpBy3AtrfUGi+Miobwx+cNry5EtXuV93jGQEEsLDUguRnPVHU64pkMN Y/HvOTIfKwl8ghupYahP07FTWIgQGnKXkWHc3RJW/fAOkT/MtLPls0b2ZvxsEQNWrWK48N86mdF8 URpOXhdZHww2j+tNTaSb7HZwj6FAkqAmeYH3e475o7NbLt5jiihmWR9N/vpPlXKsl7CCq//gmmSi thdinxVkcdRthsHqK4+OQ0jG5vKBr89juvT01AgzPyA1WC3IqsmVDfFGjcqN5uyo31EWCA7XzVR0 V7vENCjiJHFtumxcQ3kTK5gNDfxIOOvhCVk92NHT70SP818uq3aWJdzUNmramOx6e7gkaWOTxjqu SOlQV632LbwaiQOHyMtHtGUg7Z/fsNxztg7hLCZuzccdEZhz/dJ/PpG5Y+KZtikPGNbuxm7oIFLQ 0Zt9WeN5ufC5sgMAebeEYS/ZD3gCk/UBQ8oRFsNrswEERYyogd5nn/I+OhoE/HuGiPxvJ32o/PL0 0xbBevCOR/aPyg8GMu9pqUzdnNBeun1BAZz8axnNI1q8YY5QFA/gISUCMmsdfMAAEZCvvzLjK974 h6ojpW1Vwxgg1cmPJH94jejLrGH8ltUAefXjIOGomGvenPvjGGBDZbwfXI5eJGlTvfhYsoHwcb8p 47Amu6GyhJsgK5SFynjUGxgJZCP1LoP+BA0CduOpD1AsEeCjozAtivPfz+plkrS5nJ4y4EpsQcH6 QKf98QWIinSsDg6b8O82M7blqIrc4JedIZ+KA0PZDjDtSycQoZiGZO2pf64uSRcUCVawEu0oMs/4 IZS1tYsujMshzoKhXf0ubVfHDKPo30825sreLWixR1HjdsSj5PVCPrAlj2KHcTZPwruOv0+ILNTG JeB+ZrdFeGsKOia5MPr0wxD/EZ9nepA7Nct5iOl4bW7mMN3zyBHYjejFpCqns0x0d32H30fo6uOV 9GwuMDbqHLKeXtJz7wtUS7SPrTduYdoGcBwzGzr6cMDVb7m237YsvORerRYnDO3ydEySOENtkYFv YssZccw3G5qVHvKmbq4r/5lK1/9lAhgOkLfEm+DGxxPli6b9/q6EdIfQnLyiSRz9cE2bivKjFOUc ZULu7SM8Z/sHvcwa2JFmzv/DzeWpS7vhwgA4oic/ZiM1/qS2+/d+4WI/IZm01i6mPbQozH7vup1Q 8Pu7gMVrz+BZrVQmcGCA+LTCTLY4LgzpBLIuh3m3Oqawy54/hfSG2XVtjyyjvpUAzydqGxzIOAos Fc7Gt7Z1iXWhqNuPDH9+0XclSns+/HMSq3ENcbZF2UQ1E2tx/wY8LHz64lLqJ8rQTKZm/LhWDBSH xLOvAeNRxc2YRa5RJK7n17YZOfAeevI4yRfUvn2b3/nk+AD6lHCoq4XCiWVSitLfDQroHCjFiWFP NLW2/GmWWyAaGWTtt7qeXx/IIlf/LKAFIIitVFJoV1fjRmaRu07BZvpA7pwnRSDO2NmM0RmyCk0q pL7g61CVD36DPdKR/t81fOFBsOVxje823Sb4OtHa8S6M/DsA1FHaT8NMcD9mk9T0UGKFHb7Co5J0 wc2Ot4pbEG/VtWfUYO5k//KSmaP0lPt0ZANm9zjHTjBDL1yph0Tlw0SrpP0z6JBLmCJ2L+llnQH0 x58bQTNc83qWKtdhy7O+6DAtzk209IPpnvr7VO4OMyQ3rQKJEhNu/L6ElkuS6XegJi7sJO/N/cMa wmCMdTU47y6YZRBKC+ZBTkam5agpXMy3SOa5j48aiHy7fssZP6m1dgI2N6zI62DTheZgJSQEZSAR 8UVNwgxddc+Gqx8DRghjwEcLkhjn2CGTk43lktveG+zemsdlfGng6TWiBSlOOYEacdR8pXbfJYNj CK2Fz+4OC49JjX3hQsKC7vUFK1U3NOkKXYXy1yiw9z/ET62zDnPQhfgf41H75SDERWwHhUCYxeqF qoiJNL/BXnMcrQSgirM8nnPexuQozGVeZ9PvfgeD5MgJoLMkxVWqMZuLYzXesN3p01QNTjV0J2sO KyiNKayxoYFHUwEPszSNmJo7gOzB1IJEHV+t5cQitbO46KadZPoC266RXXVh6L4534UdbZK3ZRa/ XZIprVa2T4jyd3PTaW6RhVkMjirGPpwbzEAXZTFndvsZRgeToI9yp1mi3YJ2PFJAWESNyJQvVUHC VkUoZfQHmQst2KHf6naNZmomH8foUDwSnN9Z4O6Zwr/o5yhzJCNqn3eDPPgg8ZYifQvY6T7tbPhu FEsNHk5DjJTMFWsy40rV5Cv/RwW9KX3SD4awLvhTAcdpPtd2bgzXVHwzZijVzK/5Y0V+rRYk4TDR zxmpICH+gAMeeuVuGBBQM48k9kv2TZMR/L76xI1UCZTvnxnyPFf0UHu80GfuNhq786cHvPyboESh QdOO9yCGs0ozXmmIQqfTazYhRNnALgzVpWXeepqU/mXhat9etHX6ULxrAapRZMMstLlRg9aytagW t/Nd5UelP4VHQsrV5+YPEWBnvrXC2B30eFwRHIbPql5SWLDLUrjENMrrWPbdkxjvf4UvLyxBB4wS z8be1zNMj/IG8vm973XOSk6H6efflnMe8KvYWsBuPUTCAhgSMuQZU942ao+okI48XBf5u2cHszvl E5LthG2jJFV0fEW7SweSiTf8mS7vNSFduXJiw6MiU05BABmB4wU6bQEnua2tL1vtum6+9n6UTwWa Ng4QT/XJ8G2Ziaum7wiYEqpQEbiP8b3RLKNcvo7zEiVXJdVK60zH/MWhdxMmkcM8bjia4zW/vuw5 UAaYtVZZwuReuFQ2jqGIvR023Scb7ImOeEJPYHNVmfBWYlnWcC9WqBSJGMhvyg80kzznE4SH+I9x uBPu4l1m5qMS+PEUE838e6AXUBXvtsUiv1eMY+pSiZvOifsDevCtLdqTcFrCr7yzZoh+DDbKn6g6 ZIfwHAOl/ZnhdNwss4MQFOJ1P9OhVtMb5QJL7TkGA6j7kdNHbRUo8+F/TOztC5psjplalbBv2BQo buo15oPvJ/yKEV8SU3iG9ZCgLoQPMnwflS6FXuTSpxldqEsV8KDQB4Ii3tmMXAQ+/o+OpcoOlkLG jkZArYch664aFqO8mPftqC1IlGiBpyxioC5LATzh5OlQle9x9TQt9xiDhsenABEnH/Wwcp4k/OyS srwjonsZk9FKhxi10IiIkhZN/auliGD8FMVtIk2G6gsfIA8a70N5IB1KjwsHDZpK5qJeXQA1n7Vs GmsxYd8yz7dYvo7cXeJ8DhIpYg/rkTN9cGC2CeyF2x4o5Hv1i37MekuuYALk2IdaL8Ku0Y9Yfc2W GvcHIIdXyZWDPq+UL5iepfAoeAxrQTuB4lO0a5hHhzSgELA9tk79cduHbOEqvRhXq1Rn4HGhUefL 2Nte17PODdBOTFTO6AhWrRb/chkStl3kH4JgSlhD71m06zWdwmaGIZ+NxzuWLimCciOfjyJYKx/w 2SfbpES1/ssmiDBOqWUS09GuP4OGvPfYHA60k4UEgeQkWsskf5wlH83mtrVcgu6dyuGWqhoabwZn hdWpzE4cwCJ6fvV2SFs2xOF8RzXWneVMhtx5XH93B/qD1eMy9I5eQtAkrs3ELoXYZ6w12JRlG4Gy lcB9Zf6GQ/Nl5Sw2Gdc5pdG4KJZKqi76VBdLAIwh4WMCWLIbkHCATzUy8NhSwaV/PRr0QmjzrSx7 FGWRPfdQ2gaUbvqYwGMIA5uoPkZasGYEu4MFqCMAwXY3aabXNqNOD2BSZNd78dm2xvbLKBuM3nPr TkuDkzSj9T9Sp3WKcAx2RyIzKqHx9fzUuh5ZEXwqNAPWcAHNBDbxwHjxeDncN/qbf4P/ky6E+eD4 hUB6zbtQW4a6rXIWXo7TCVXUrr2fHlor9s3i3gKRR7nT2m+fn1I8l6WasFQP9o7eMRu/k6jFE2Cq Zuvf/ZmatDyzRojS40r1KeylcgAq7Rv+OQVrqiqyvcvzDA9zXstfgrcTKyzsw36VZ16Od06gmm29 mCFQHTWxSF8914p4d4Sgn5Y0c9wFgzv4tPw51jbnw46Dp2Ljzftra899B1BNZXHBODN2mdtvTlgB zW9DnpM/sLblCpbeLTHEwY+GGWtp3Y+TOFVbNl4deZ5COAYWpL+ifOMKvwCIOWJIzksS9hbIjcwO fVWRJta/gt5txtgMNoVpJpMYyBuqgZVTYMbUug//38i9RoZBXEZa87VjLkAsjyiH6menOQTfMbji c1GZQ0hqkmRe7CrOdDJTukaIfPJKTwnmgbVBEHYKFoHvhbCSy/plYNWjW3HVjqRIIHtM9awGLuSC fjJd4JlWMMC97PYnFG9Vx/Wz0hiOfdXL+UfsTLIOcrXpNSpuJocTvLQpP50gl4ReytcKCpH1SnS9 o1nED8KtTa93yd3bu1XnztstvmANGR+Wt7aYlZKbv3rM8gQazaDU0f2v5vO9OBgBFoFs+aW3Fhx4 zmiDkjkviCQ6iQWtAs4XeWxWf4E16wAIGBDFkCR+TekWgfbXcLBTU1EZGw6Zk9f9S/5TKIMx9/3+ tkpBWe2dAZbbShzSjbkMP1sxuiaWQad6aEApMvUD19X+WzF1xWVJKTFy25YQsKz9PZ+GURfcZpG1 WHZ/eJJG/Z5kQAiRCEldj/D0Al4uTTBm1AP5durmzI5KmBOtWKxdk/3NMBEYmUP/5fdnVm05JPMo l3Tu5tJnsjQepisLU+BWqhjhgBJtBSJMnrNq+EgFBt8HaQpFJkd/GIg3bpoYWW4UmzuEidtFmH24 4KRs/aLJM5aq5KyvhFbLffoL6dRAAHjRAQ0RoYwYGcwd07eDlc6lUalm6pt1QKueGNUkiupxLi5a i/YCa9yzNndsQmzUPJL96opJTOkZO10A1t+rJg2xfGvK9ZfVU9rupK7KoQkCXVpoQGOX6inSiQF9 sAqhg6ydw8fxV5OkNBsrzJy7bH4YuPUIveZ10sPyTVvt79HV0HtFqHZNQYVUnvQbrSAXB4ZgKcbV 3SsaZ/8Dpm+ltUpZu33kI6yz2esB2wqasD35Wreo/1LSVDdurtVn5i/XbfjYuozqO/SW1r+J9jUu 1W1+RqZvzAlVM8hWu0VhiyzC49XIFRPcevRj/SAKofbs+AwtjYXQ27my57rKSMGcXWGtLeQgZAMi ObssVQppgtpueG0fdxBKwzsQBGLvEHK3EKzbOxwJqgpJJl88B5d0SHGeUjDhrmtjOTAlWZEQFYGH LfRWd6Rb3ZLb1sRZ4m1Hu7j/LpsqOcugOKLgfoZolKezMfgEidHqUH0+N2DwItucYlnKfMibdJTf 1RS3649sBpbEQyGoDLmA6lVucfRhntAMZ2fyZXUKid0lEj7e0z2DC59tccspmGDy5XUsZsMQYxb8 FVX/Vs8/aJzCNtnkYEuEgYhohOMLB+Hc3Cb+zxyDuA8/vgzkI2lfBSFiXjGCLwaysOj8KCzkUDXb QvYyjmFM4Vh8WA/0szUaezjdF624ZstA3Ehu/oLg9XXSsFj8p1Y5/WaUret9BKaU2oGoGC6WM+eM GKY6SqH+FTee+bkLSjLuNLZ1lnZIRq6Lisf9ew7aC/t0TVcXzjKt1UVpPmrLWWdh+R5vAo+dx0Pf Qr1Q5Iyfknaxn0rB4+e9tQLGK57o4bDaQhW+udFNd4EvnW6vhnFTFwNNXqK7EJX6CWBYb7V9PKmp FqlkbsDdgDNecvkbgmiiysKa4qNK+JTD7loS5Ot02CHzeBmWfXipYx8SAmxDUm3MBdxWUHjEvGu/ dFHOTpcNUlA34Vz6OdxGRAIr5IKxSTY+n79sgUvU3jl4IM/ahgusDpp6od8lqHilWrY3dwjBBnex pDpBoC0Vm+u2NytBlc2f2tZjB+XTWK7dzaST6/MUOEh+xhEkrMrkNX6PK2eM4CD8aUgWt5odTiHs HHx1e+Q+rZABI8L1ISmJ3I+OLQF5Abwkz0+RSdB+G9wRlsENwO+YmRYWtFSPrmmFfFGnXMADHZs4 UuPjSOh9yDX7mN5iLOGFInVdYkHDGjRqpB16uz7GJPk2Kokr+f+BsWG+sAnKDLdRURGK/UfhxNWI TiDwYSqW0q5vy9PHdvguwPEFJ8YoBfg3kT1Wua+5mT1AgYe3K5GTRjCN7+uFk78/Al1Ea9FfKAZb Kb6s3BuNQKY12/4ZFptioLoxyAyN/tl+j9j1rhRbEhpPgAzO29TRgwR1/pWhjFG02XGSOVlDAiNz oP7Hgl1qeIG8teV8H5f6jkbQQKI2zYoD7jmTA9QTiv9z2c6lbFWgXed27hkWHsXAUpV6uPV8eBu8 7Wyca7soKbZ8sQZVQWHsr0Ifa50cVI166V2aymaHa+4UWF7C9BimrT/8H6gpvxUqXWSslfh+PAmH V4yRnYDqfRu45F9WkPze49+E9rYSFwfDohHMHHf1pVys2Di+SboXzPg2uoUF9MFow1kcP7oTN0/x Vvrwk7cRObpuBAxIMWOLxSHUEk5oLtVTJft1UXVE2YBAapM6Az1qq66ChUUSn4LA8xKzeitIS3/i wL13H7uUG29u0oO/zxXKU6ZRC7u1aBx9ayhrv/k90GhkL/lDAkdf43RiLdYoYT6CPnKqIQaickwr TvjCesw3/J3Q08/K7pWFLnAU2mn19yQmqYR61efbXKUbwsUdXJqCd5JsivktneVGJ9/PUCm73UEh DMDOQzaSTEmb0JaLlXTS+bPzq6hoYOErE5/dyY7TOIQeYrsdTuNN0WtuTB8jD7hx1zJXhjlk9MVQ 79PjQbqs0jqGQCTnE6ksEkcSDQB7OB+XBQcFVbSdSxrrkCBffm3qiXhzTx+xTTDa3XSf/zwblZFB pQEAlbvoldsTybrcEokxt3gWEdL0ZEq6W7G6a7l7bu9LVDvOJEdv96frGQw5lEc3BpGeZMG9rlA1 XRZGX8osOe1l1+ll4KVwq7bl3Ix50Kfe38dx7NJ5K4/0lyxaRQ3Ghwyz6453aaxYlla33SUPlCyq xTOuS0GPhBPyN+wzOO0tRmMyH9mVliBVhBcsYGQ+SZSKIDObArM0T52VNy8Fzxf78NqR3990RtU8 wRLoi5ci6/3/05MFnGU5V62lTsVDEYizxt+wVby5nBJmcYCBfdpKftf3D2C3cSFGuDCtFZmIKN1Z pT76qfdaA+eCj5nd3GViy7UKtRR3JjGjoQoeXE+EuaB15dFH1el2O5Qb/Vo1oALcTmL/xEQ5Xqq5 yklmX2HVhzRXpHY9yo14HJ9CUHljjyUgI+8/REcBCa9X9VmekGhxIo9ry3+mUPLC2x4Cgu18ld2w 0GZ7+fOLSm+3Lf6T/uAu6tBlrglPNqNWqtO/ZiVLaapY+B/EupYL2jxqbhHj30wndKeu/2sDntex iZoTgtSjBbxiR9Q+rG7ZRVFcVLyKM1NEocOmWF47UI/reVpNxSzu/q1ha69G9UH+RFrN8DbXLHBG qIvRnxzOhfklpc/EhRK5TfjH54pN9SnH2qNfIEaih/6bUEa/gkmCtNfpLll2Fij7KYFGrcMR/uTi TFUV2cS0rApbV8s7l5meT6HBXIrxQaC+7r+sMEmvIg0j5FEdfhMXHO/Cc8eeDnlbLMaNBZ4Oktoi UCGtuVs6T51CndnDG/0q348JSGvwMkeU/xlIRTot3bGs8fO65ViKeYy4h7jnOBa4T40XV2NzBkaU LNPSoKKqBYcVT4ZNQSHuWwYiu7sa4gHQKAyXnjnRCKmIFZ4FfSkGhBnVmDw4Tbn/FYZR+PI2Ozi4 WU/yST4zpXLKgOD0yrHcAQUjlKMCcdp/6zPJKf+XKEiSVVVDwjUhB7zc9g/dXVOytMFudA+hBPip zLDG84sTnHNrzJxsPuGeaVrhCQ75y5CAMSN+YaRmkxSEXhV+xnKHU9MumdmiWjIrP5soMccGhlCs tHmvl4AQemypLgMA0FW9s3cgwJUWtykKYoZnbvVemMj/gFrsgsziLXzwqrl8jha6IzTHyLh5NhAG pM79tJK5L/XfaOukLDuHDeBO3ocFonkIdP4o6uh/+HG3TdEB+N3AIVBob3GNOPoveuZINL4Dc7hi oVooK3b+WwStliD0DmMLQ7Jw+4OvQfbtvc2pd2NdQ/hZWCe51tN+aAGFnOidSUtfG1Vi6JG9gLS8 fTjvDdmhXqgxFEO1blAUhJ2BToD9QpjNMQoPGP0HpSdbDWoGsDnrLo8ZS+r4D1LgL2A3Sa7ixOSp VTSHtckBJSZ6JC+aqWPrkopp4gOAa3fWrUTNh1ziGdrR1glw+1NMEUW9dl0u1Le+k/f8jpiIUvQG I3chdT6cRis2RttPHJoibjAIoMDMCtj+EUrLnEQwjpqH2RvGCSjVvfEskls8Lk90Hlh9FMZvoCcZ f3l/g4ws5rA9FbY7ttxRL8Xcq5gwr+ylBJ4bTIn9DeW7ztS/9Uxe1fdoNPEw4IEooPAC/61qw1qC XTVpvfQz0W1NHu0CZquXpqqajqXNoY+Scp6A3wFbNF0+KrW+Im1c8OSrn56iQ7tnYufJJT9bGNiS jRbsG8UNAeg2FxRj5sNgvkA1s8pijPMaXZv4+Vjk5QbnfzWr4WggWNtbWVznK6E+GVVT3OyaWP8n TmZSbvAI1XdYIOlcmdS8WFtPjPOCgjjVEktm1lvLfj/p0NNkqT/R3yBxwOpn03XnFpkeVKQdW2UN Cm5YBVpfxJu9LDYpHTlqARPtjfsEfABBcaCYwQr5EindJzQlR6Of63NNoNHbDB3PJmGq+N3uCq5m 5azxlUzpIofT9Y5OpmEMpUgUFV2UzqI1UjvpyBi/O63LVu986tJXR0f22GHu/8usb4TKIDxHc3Ar bWuudtM1q+4YIb56mCuwUafIXYHlCNOsBJJGUR0KjjHblSIkF4UcsZ1CaMckh21kkB3LG1i6HEDj GG2DlX+7UlaHrbFLkGZ3cqFS3YcYJjr22rcwreGI1Ai7693dcw2LwB3pWO2GPgmXd90cWz0gyJDT +DUOpreATMBPUl8NJfYsQAJq0jCZB0eCzhjw0BgKR3XjmcYCv6ZXbsqFDHXk23vangvVe4QZpUpC C3bqioTbGKb7mbPeRnoMoDv4Nwxz0HwrGRSfJUgnqHIvJ8UOs4Hevp7ajpDXW38680zIAgHtA8nl eYt2s+eLdi6VBPo+woE8OcsjmIKKA0qEMwUnBwAEg+AnS1zAwyzZBOBPBeLV0gKIM22fsA6iKzKr aySSJHOhikYS5pk+PnAxIvjuGTs7pUwhGhtdWmJ5NaTwcRSlwVZP/C9QYz8MSjkIngvCWn8NXjna 6BCn12zZVMd8aBCQa4Tve8wo87z/kcUKAckn9fQSw8YY8Jj1kGZNm/DmJwz3nvrTVzCyUkoQ9O9w kn/CxoxbkYAxPieqUN8+tEcoIVJC4ldp01bKBJsqYt1z6Pqt+O7ZDEJGo6HyLFt/5DjWbYm0YEbw VI8WXIpdWnWgwBKHuvjQHJQ9I1IG8hHEawj2wjDwczr+nkWbRXbOGUgklajBp4mo/U2jpWLKBswE X1kl/+PBSOpa1TGR4aZ9kAyfS2uZSQsg+eeejZILI/qXU7Xe5jj2t0L2Tk/E0NiGfE8U53G/2xLl wzC8ND7/wdiWX+F2slY8D3Xx8ZqBHu5YxDiQdsAWDf1JprQ8wOG4smR1rWTUzTKXJeXb4oRiO9pW fPa8fHicVK8DGazBgFuVPmjLlpDI95pYEp59QHqDbqpTmvz0I1uFWyJuk5VbyybSpKMacFdennQY PzwS5QzhAOXV52sDtK0Ww7oHJIlAv7M5OgKRulQoZNnxq78BlvSrC10pIWf4f/KFd1Op3kM5OBGN kAkTlLdvPZ3PXB6oBgGIqvDSkQyOUqkQ850ct3Zse7YYTK+FMltARXCesuIPdjuiFbje1pzjJcV8 W3bp/AGJvkHwQkBb1LrshewWZS9C4qQTbPWICZ5PYwTB92sSgKL07Tws6eswNjYR/yCy2NuvgG7i i92/VFl8LDaSKuv1no/TYtaL5IqfP4MwkugVm2XNUrR9vj0+SiJZk+KoXWm0bT6ijU2FhSFvgOfL h4YMbOOS3bRsBwexoI/5VZDXPp21h79PcqJZs6xeGjdphhodeYuF0VBT6kwi2OPfJTwYVTUWNTDg TORzVeD8aKoj+h0iUhndVhbYJzhg8m5vWnNB/Pur4t25ISpMCIy+JIQA0Xc8SO3lgZfuasu47G2U dK1w2awAkvsbqA6Nf7Pz8zZBd97yPihJAtknp2PN/7M2Xb4Joidsq/dUT2CM+d7Wx+7XifJfBJWg EmsAOEOiOF/ojDQyIz+8GcbsQmWsg3Sp6tSP9bf7YHvHmF7hMcNJCGPWGza4dt+I1fFGx7wqK7jl An8lhXupn+aULX4d9/IqvXnOMwIcKwG8Z4E+BYhmU5Vxsgs7RTZfJcHHfXO21GDC+yMY56xl9MDv AP6noVcNa2JnSXo2ySwg2Yv/MrKqyNoi5jMe2pj1sHfGGiLAxMxWRWYwyKucFy8GkHBa6z+uVeqX rRBgH0rIhHdpW28yneTlYg5ImSC36qkSEWU/nKMZstbHZDEBQ8pQloiQjRrQPhiOxVBBDrzuake+ hUGJJtm82gWYegUiH463iHXRfXQQikwtwCvK+FihVVXBhfc6GQEbrRn4tWHizfOJHFGM0sfx/1N3 i7XtfDLV7qotLAMNq/ioOaRLSHL64tqIJ9Jfm3XU2Cp2TnIgWyjis4ryxOQEAtPoiUFU9CNJmldG 4Hd8MwntU0TcgfsaKLCF3i9M9iJX24OppuFSvNPfIlJsk+gbLG8p2XicSrRm2edZLVMV7euvF3Cm 4hZtOEr3sYjsH1CxkEe70xg1i/E5Bvj0qPx97hhMRNepXxpSQ98FZe2wm6U3KxB5khyFkcYeJew+ mrGtybPRK2oLb8Z8gb2kpm1PjcAv0JK5S+hkSLopdzwAy2o79rjXY/EtUa33aBu8HdOxOreoZGwE bb5Y1ptmZ9CxoSZuZmvqg9kIdSCmEeQMdaK0jUFRjjsDb/WPVa4ZbBcqiTFmjkESrDwIicEmsLKG yRQT+qwS05uQn5LusxBWLBb0mSny7uJeEheOl9YtuA3XduirFQpE3uKFcOi229cP+qEM3vMtzXYZ ghpkeiZL4XPNNMNivIZnKco3hvHypxC0nzHxvX4CJlFuozpnusdIaGSIgLOwKaRhKIasM7OjeE+J X428XCeycn7PPARlN7Q5oxK1+cKLEHb9ctT1ya9iumX4Xp5AIhTdy6KCe3vGjuxx4sxvIFQ9KZfZ hkabZIR9zkTWxYkAUN/eKPyT24q+Aji0J3WxNqIXgUVpZ+/FXxVAK6N99UWxXIcnQ6R4bJjzDlRT LSP7HAwQW6FeZvBBWjjXoM5Ix/5433H25TQJ/3kEX7EnpAYhyclUrNFa7IVGP1DE1qIdfFDDwyFJ 4im4QHXoTWrviUt1MFXsTEzdoCOfWusrLuJAnbIcGAJipNm1htKLqyuDLY/CcpYrab2WGkECCYkk PZYDL3MfMLuHQefTp5CH4qhcEbyiBOms8lBvquRZ8R1Rcq6J1VkGcLElQkfKQg9Gn/g9evr7T8bL AImfZ0R99c4P4LNPFL0cAWUh3DXMyJzvOUt9po246Sn7pSZRr24CZuVsHZyZQzGfzrTHtMUxoXOV eV86mjXxZv14aawgB7qrHie7OW5Dgo28hAmSaNmeerjmnd8b/M4g1R7YKOA3MjPM9JhooSS+/WY7 Jo3WtkY/Z1vG0BhFEW0x1nkI6qE4QLLvDXFPlA6Kl8zryP0RmspGydo5YvaA7YRjM7M4lxOAsVqm DE0Uo/716lnyL3WXEOFPHCekD1L6VgHZmkOUroWRksYOd6VLySW1H+Ez3qIwzpJQlEGLRs/BJbW1 U/Y+1wk/xmgjT+aR70vnS+YhLvIu3k+gQIjUaR9RpTXfoGySxo0dUMyW23ABKyKT2KaY9Ci2hzqL rwNZyyDGQcr5sdTjv68ZBq3Bt06SziqcqG0q815dmIeS9QURoxFAan7yFdBjCCgnIp7Cv1DxAswI VnkCddwzsHewmLcJ0HIqV60T+7WBRw+jy1ALWCPgPfSOi7+BAnnIMawzyh7pYLz/HZCGFMvZsgFI 2QNjrcuNompx5plCGKVSYIarshBuNCzTPSTk+YwkEGqRlcJ4/8mn7tWgUKJneQQHcq+isKVFd5eR Fhcxc6NBveGNvl7aEeBajVDPOmAAexkigqEUrv3Caw1reSHouGl0V3J8xPctS/bA7qYjcebvPbDv GYNKeG+JtsskYGNeQZxJrJ8e46+R8wm8j35NRePuEO6VjiQffVHkHDwhFJDEefbq2c0Ja/tXzT9O yi2pFEI/dAkq78Rji7r10ErVcB1JgzEw63/+n0XLIzTcYORgeJ9TxNxOAHJq+yBBaAj1TNJrplLI DeybXekGc6y55WpBX+1vzaYgirQanK1iKjAS8oI24WscNAqASGUXe1752w3kE/1dnFqk0ui4Uv/w hQMpbo/BJXbMX6UOlE/Xz2SNNWzaT6y+ZhsTwu0mgIb/bMZOh2E6Cgp0X3QkCxynTeu1lJpII3nt oUM7CMh0vH4BgPDE5BNEVAa1Pes4nVojTLNRJIlFmsXgcibyqbWwqQK0os++OPkhAbtPyZ8A7BVD NZ2mWdCdugTtK3dKfL1XPnWzsb6O248K/DEof5wf8bbcCUy0h42NHpmrCx8ml7ANJQ2ISlkoH9Ty w7mLffCYecl4H8xkJ2SyVi8QPU4glmH3ly7RVI/0SPq02Duuesn9cEOiUURLrpiWFd3/YyiiCbkO O+NUi4HWDaFeo0QL+63vVHIfxBbTCD7GFWf0UAmqYK2A/zxzui0D78qr5y+IDUIEzKrk1z8gBTgx fTQIheIYgj5m9supxWnZ8W9PMNb0qhiCgWTb3IEJOKcnlCmm7BYHhk/z80XiFIBISSkAmEGytI4I g7vnA/3T5fFU6sGJyDQ5G33hQh6qREgRMCOq2YMhuaClLRXzyJUre6s6pha7dOk+BAtMioqQCHRu u0wT9QsNA8gD3eI7qESPSAe0ZF3v5JohV5SBkqIT4sTTkyw1qrrEl/jyTQEwcJGzon2W5LrRT15W fzHtutMX3iTwoBl7BEHFWDDCkq9i3bZDSrWJBur3n2Yu7i+lkUppSYJdhprVKdNsQOtaYnQPqGP4 LC7/sF+dzyIX6AdMR+lKj3J0Ianq1vkSr2+FWtxy20Vctvdz9dO2CNVQw1X5el7kp2YEJ4iJmtpW Peq6w8B3D7flENmWgABNDN8Rgxj6bsfCYobDb/NdCieRd2I5tSve/YnyRxgPsOtAUV18HCQl3TWK mUDyuNwg+Pyi8heK0w/1AgZ/IAH8pRqne6SPNgvnGZfysoN2NDLvx2l42cHw7szvu2ModffgrgbH ZUwkhuaf/U9B+Vbydkp4iBY00Qx53mkhsOl2kvIakuJWQIkv8xxq8R7bDkRcZXnKchpnd5V/Nfe8 MhF439DE/8eSKZkYEaA1I4ibtVedC306/0PfgMIu7Ts1CDjYf3Ee6hYK+MSzR+deD3DjULUZnhu+ YwSkqjxdcrWh1C4SoTwuwy6LRVM67XdUaed57fmWvsh6rHyoICgetE8RW3CDjr+gWrRbKDKE4lZt Mi8+AEDkI7QWrTX5rHKujpcx2aGjkIefdQ9h7YAHfQuhNGKEz/stmdMKmpss4wm0vNActUg1S02o jKJeuK1Ns8l0AaPrTwule3ac/B6KF0AZstc8iQWDzYRtIUdxpMSD1uTDt0xCxZhLH56nky3gkbSJ i0a3KSQXTrA/X5ypxu9B2ZS5chBIC2jcjj+KnhFYGZEkb17/j2EM92zlhpxg4UDQEV7wn0U6vqPx rdXVrsfuz1d0E6O2h+vV1PuaKZn1tcg/O+7sSmBIUOWB4+E8kc6LtLQS9pSmbCKIDF0oxugudJws 4q9S0ZY3KTgJhEpQmp07OY+Sh4GHIfByICl84VbZxpy5DehV13Ztfh/oB+V/YKX8REqv/2+5fxip BnpACqmoLw4UnJWQS+hA7AY6+tXYTL0lTixTczjRAqgfz198NhuS9WDzJxh+G0aAy/HHcV2mVN7x MQYrOssnZAMI6V1pI90iCqzC30uZJe3otbEXtMJR4u6vH+I3KfUDDk1hqN2EbslkQjM0qtVpKCBY AsO9xxFzJF1L8fzdtqNkSS4ujn6jjp2bnQSTjycC8MXvwQY5IliZ1e06BFiHGT2n/AYaolPoy2Tk JUH16SH4BZQ37uPwyni2orgHwhSzneTAHgRZl++8g46mTa0hXHSSh6d9jbile+VqOs3cgQSB6t7w fr2K4uR4Saf7h9m9pLnu1z733n/O3olGZZ4+eRSfwUr8M4/25LH5NQO9XgfzyYLmPQ0F8rF3rNhK MR7T5fgPs/h/TwkWhUq9zbSGyDJGMrPJbdxMyQRsu9MfBxNeGnEjks95HnmE+WCTXa8zI1olDME4 mEHo8pDwFkJA4fJcHL51t/CgVgpxe9VMZ3nFhiaofSkR//3zAHQHHc+TWhGM+AJL8FY5tNnu4rxS Z0nFuImnUKVNkmFW+oEQnTwKuWPtEKWV0TAo39eFyizHURSsI8TEV4Y0aB8maNcjCRHyMvz5tm4B ZCc1qHeMx4ujnAbUPRljqe+6L+HhzZY7uEJzjlWkgG2elPb4LrsG5hZzfxwu9+JekUmVnAg3mam6 hGOnPcu7lda6otSfE8J+OhFauPkfYlQAXqOT4co3V9Un4dpb7/HLsjoMKMbe6JsRgn4MBezB/Bxp DWuGGWVonYB3lEU1xvxDA/ffAYOTEajJVJxU0NAy5s+cE8/vUe0RdLf78qIkr1Somg4T7L/pqjdm lGuY8BfwpXC4vZqUKhILaYeXQKqkP1fQdNy5ElCFlONgp1fNM8b3bWq+WAGWtA4+bakxMMivFi8+ Mb+6dvi4dO8YWsnl9SFYkHOHoqpZQVLCyySybGM0/qdkpEHYY120sfBzn/gJllXuEjKjUEMUlqlL bKKI5V7lKMGGhBIpBLsti2+MQCmUkL55k0c+v+kJKP0mtmMVAu5pyz64ONzoesP6Rm8waxr9nptU 9cA1aEmDjQGea4lHVMwKZ4cJ0eo9JbvTS2k/iJzEz0VPkoFoEhMMm5VkCpEKV9/cDk3EZBkl2z6p UzCZ92k64xeDp6t4WuRpIr0YTurRLGsTDQl5DmKsQFmvqO8cMBikd2akQBpbQXvv2WrRxhut3Ust PZIJ0jNZJKeUrhXhKM16Vza6NPOLMOWmp1FkSjiyQdonGU7xhrwdXsq9S41eU39Yv0KFOtWyOrNu R6qbHwlrgEaJBgAMIe7ISH04a4RAnGIraeGT56MYDOq6+++RJ92WbnBh+Cs/6fOstWyFsAF2B5sf 4Ycbf65IU4yRVCVQPFoESFQrNjvQwGLe4seL1olt1zzXdZQRUvM7og8hwhwuaqnpH0tgSYrYD3cT gm7lxRZSndFjkJb9V5/YYDpkLf6oxyPRS4CxsW0aFs528MyG768WIAwFgJIDlhGGjA0rjmxvKeI5 FbHhHI6ti91mJQra5v4tjXUjdWhJ3GhFS6WRHWvkgPl7X+GZxfsHt1ksF4dy6FOIY3LfnYg9IWd7 7SOcKFgMRCqC3y9L6HGfGVdSTiqu7qW0PjhWJqxE8fKEUamtx4gmFHLdPDapvVzY1fATpCnWta5G 5T4wC3vZA1CRLzh79siFi5sHvcF4i7dZ08njntJzVMNK1AmIWGgasq+4qxy/KQkyAzKSnaftdnpQ pJSF3ZX/gew8PXbXUUgwWsVmdwlSOwgfhNd5wkyf9a+grw1Qao+mqMkK0ww7ofKHed4WCgmne5bn vfoEAdf77HeG0bTMC1V0DPlQfKr0YCynkjLGmoVeffS1UJpurBQydqqLU5cIJpEVqYbpxNv5gGb3 wtji5WK48zomA0qvfEWPXHmfviw80KCtmzR8jywbx+VJAhQweJrBnuVU4m21HilJCIR4R52QZmj/ z8b/YL1GFwON7S3dCvDK9RffhqbeMCPzRg4Ogfh0VFDzDxyVNy/jnZigPsqL5UDNBrlN+fTYeMw4 ehPINClN4OAjjMyXQB5nCHtacsO+d2ISk+GT0V3Z+atlL7zRVfTo4NzhGff8xIG8htzuwAwwbb+8 aYb/0Kl4u0C6huevcIS9cCReTP7+J+uYvMikYlqC8/5dc/HnMOCU2hHOVwN5R3ng9hBuSbDrS/CP 3gK7K+DBf3ax/bRdL/cOQM5/kXW387uax0nefTzrZXI7+U5ON3K8+hn/QAs6hExaI9kqmrlWJ/qo LX7h4gIIz+y/jnn8u62ViNjvrY3yB7oEG/QT7RBq1onARBCAQi7a+gBqWqgD5eTu+Fwol5JlsJlv S+AaEnNkfEUL6Svfsb68iV1V2hyL4ti6NwgCqOJpTAc+GUZQ84fORXJClw8EEYJo5TxSD/nglQ75 AG68zXfpiQIMXCD9A+bHOUs5Zv5W3CS7ymTnAHmlSEPjbPaHsA6kazE2LR8I7SINuoFxr3sHEiYL z8WMWJuxXM0+Z+ZlW8Xo3qKxtc1PUzlQ1/zjajyxzYlsSw0Ty1Vjc5ClfQ+SIZQsRcKALtwxyWs+ q9SWQbu+YBLix973tUOXO+rAjLUGRzvc7vRvfj2Lmpl1B5+QC9UAiAiIouUqkENHW3TuCt6ickiC 5zTxOGvfm0UnOQNHrvczHsZPi2AuO9iJUfFGCYdNRxuhyU0OvWNJdnUTSzBmqknXI2OD3QHYF98h 5hw9P8LTBNx4redeGjZL+AIKE82ehWLXCoztfmD0oMqDcVezd99kvQtF0AzQ/BQ5gWSz2DNf3+Sa U7E2MMOgAA4dWCrmpAHDyKfg5fWmKIVgBQa7soNQKcBkp1VWJWW2CjQ0S4fnEMWH5hho4qURfv3A 8ORQA6Ja3LiSp18OiiFbOMCbLEon2UeJ3XboFk3ANkDj9Jl1UsyyZ5IBQgEWpQ+2F9puv7GDdNi0 SlE+pRR/kvkZX+keCx4JkM+pDJNmklZeAeIcs/tVbd+y1FH10vZoW/2b13ZiOqZbptf6kY8T/oLw rcFtm6xVBwlCFaDFvHo4hLU7tHvjN89pFwnnHsQsZQA+9C/VpB2YlOoz9KYW061aHb7tyYLP1aOF 4bvGj4E27Cb/TruzU3NHDCEDbCUsgAs4QPo1l/7t6bwCtBZETSrCmMWiz7oJJSoSGEhEBBvwF3CB D2R4b2PG2ta2YtIH2jL2JzDpgWqino6851MYwH0FrAUV1BardNM2y99BA8kLop/vmHSQoIfyFyxg UcUsaeLt3+ggRfE6nq1lX97utMS3+4pfQ7GDEGCh8qsw+pTV5zlcHmFoqlynrS0V4wJwUK//o6+V rCuMBjXXEZnljP/gUinJCW78r6kITRuCauykEm0eQXqlTpCs/ZcB5UUZSrVQTwE+OOjcRovRttMp cY/o32HCgIcODvKrvwNjJxD0nrSzOXJZpQARHe/M0GfQjkjFcXl6QVc1ysXqE8lmqk4efYEXtYn7 UA4+sFzuRI51j2P4ogbaHHTblu0nmRMJGFmH7yNqfYIsc6Lod07zTU5h55X2bsukFIkVfQi1LOtY /H9Nlb3d7EbDUB6e+CSY/1UdZbjHghrtQNHYwq2r8xXjbI5exCYOrqNBE1zzoz3R6E2hHc8nX7Mx eHsAbD0loxXUlv3vvR1ilG+okgJslkxYiSNOva3z95/Os7CnlmEt56W10DM7whrlOfhNo7xssYjI R2efo7PT1K3YQQVDHs4fHvtPWJ2JV6vGdb/gTtag00mCpnz9jAiBBFvdPh9s6zL0PZ1rx8Fhbd/r kWxsrGFuSVg+XM3rJ0RdM6i+j9TvpNkHk3BOEIXAE5qcWwbf8IliohVtEOTm1fufYTV1hjv0Z/fC yh3sFqm4IhkgRTf0XnSSx6q8loyNDoVeFgU+0uZln0YxlALwGo1M3LEDF3lQOZ0zvS5o1a4X8ktW bx0KIBxj8Jnrzd8VkTLCkeVhpTmazD11ZV36Pq2Nnmc+gzaHo+2LdUaOeMZmt6GMA58RSlwg6rEi vinSuEegrnUTZP781rYHW7vswHGRy5/Z0FtMehqOc+0x5z10rRxH/q9KJb3mnYqJRbXfl5ZzAxs+ NIlhgQeyTjzGnYYKgfmaRFEs+JSpWuW20qwgmDrvm456QUathxD7GefIU1QOxdbtHY8UlczgMWyk alvgsl0hv8VhYsDr5xSG1z7ayn/sKxNOl3u5y2AeH7nZ6Q1MCjG4ovqgtcB667HHevfxwN8BpxZo LVczlUcpznK0fsbu8OahNwbKgKvRRMrI7Tfp13SxalVJXtA9IQyY/rezdAVW3B6BWNMn+Cwa0zED wbzdKU7D5QfddwpfnmK9uB9D4avgDs/WyWKrfWTEmitzXEeS0MMLtqDC3AXb1iyg+LAIpW6YeRuu O6FuzZeWu7dpfxUokuymeKZookvWVR6wJMHO19AQ63aV85ZB7NDPquJ/urc7ieoSyjGziD5OULoV 8Xck2yef0xnhpp7sfTNZohMgAM11HFhOwz7Jka3nckr4c8OO+pIY66+kTnqQnpcDA/2Nz2xmNw2a 6CPTqzvR0S/4Qz0BoTdT/rJJEcznxelvQhq9DJP+sadpNageIBQE0c21sZQQbhEKLuPZZOfm1BqT 06Y1OZtcnBN0GW24z4AFhiDf3FYlGGMo2GqDrzmodSzhIPTG/CAegYI8X3YjcFcQlU2nxZUl8wod YHCo3nw+n3ZGcK+t1fcej7LacCSw8DmtG7Rpg1kjOBxJU+Ktqwrf6RDLPsbeY+aINUm6QpfyVNi8 sMLJXHZCsof5HiTymz04crsguBGht9VmFEaMcqtXkJON+rh9FqbQmdWx2qM7//wfcv3VfFNydHHF 0D0Sm/+iXejcu5QkDQSWSFZyN2G9fdrLVBdcFz8dtLW7qatv89MQ1AJRtVSJzHVVF+VUl8Ua8zzG kHqzy48YzqCy8rBgYd6yX6+GnNvoTePdmnac5SA8rItch1Unn9Bz/5knR8mno7EmGXD7mZBcCKw4 69Bofhz2MGNUjI0W95cW7FQ0hk2LwVkaqjP8jdsVeROD4KEOhS9kZpAeqQA+4QTPIYQF5lLflmzx nZsvQAqHkH2krAafs0jIKF/0WCweuOxINrOtkXAzFMY5XLZABfbxQxmjVBDWVBwzV/CcykcazpCy RTrt4fboMUE1AcKQN06RQcpI1Z3or6TG7ku80yOsjecwxj1DuGSnRom0Pi9E7I9dcTGQyox30rPW q90DSoC2UJONhdgCPvuSr6xAW5G/xyAWthd96OnO49+pCq8yGqFjO3u6Q+XCDikQ+JNDkp9d7PdC t7tGnLGtZ7E05PMpOUe5R8OD/1GsfOspNkMZr4dXgLk5qRvbAyK0pGMcYJxwSsjs3vY6z4r/KSyQ gOplnixP1Io+38mSjLZTDJc5U7XdBPNlg21dMlpR8fxoqodMpXQQWNKkXfRX4ZVfllrrjW6/ba2u KhVo1jBjnNKacJAm9qffu3apTMK/aFBCLjRfZ84OluEWq5GMsA2x3cwuO+u2KSr730920hU3yB2K ih/Iiy+XJwepLjjqoqpv/dCBuXftrZkTOyjYE08V7URscjSlfQzeJ+j8VvgbZ4Upt4DoN67FeI/H hJVaDBjs18Qk34Uiu7O18lvMbjL6ergULeWYRhR9/kRrHuaGhMBx82SIvlJcfGO4YG6Q5Be6/Isr o+qm4LoJdi+TjcAsWk291PwhScldJxckay5VvHaFU+fCRLt8PVJfh0ldJQd8tdTwiK/d8XDC+IRj NEWnxFQCgvOZgYZcm6mUZXFKi6KVZOy7JYKDpkKStrNDqwF6hbOHbVDvgLsdstiz8Hdw5T9dfiFp +zIzaPSIUrHF+UprIQNIabpDKq422fyGbO8OeAz9bvnIHrNH5j354yTPLilwF2eOLGI/ldK+D9oL 3VONLS6BNP8no88u6MTNwyPTmEByV0Dk3EzWYxXXJvamLJEAJjrZHjWelWaYOSwovv6uiEhiga3f 9gOzxlw/R8/wx313XsnQuqmeDiqcLWfoBH+SZNzVFEfKPo2aHg7zZPdjNfoI9wYxuWE+oALgpDK/ OwKOpb/IKlW9FdYycBRG9mOvypU5s3INGvzbLpSxA+DRWIHDotB3SebR4s4dHCpMqT0m2xe2Y/58 9IRoBca6cY2ab4Aq5ZDw6D2JVcUcfJZjOh23zdXzk9ysG9XHXkYUmKyDFbXAzYjMV5U70Q6W1wTY deFpKvi2QIJYT+U28sA5cF8ZClUeo7XzWJ4bh8zQtlQQ8oHPOM8ZLM2k+7MDcj846xsdMzwrcN0L iOY1+bw8v0hHUnUomCsWKrZkDN2lYtDX3WtbJY63xz41xFO1yOiCRTt3xIC/t22HwuIk4fOCfiqW TfEF2w/AuBqHSPu1Vnk265Dqbu6CbQNgr1y4zteCGg2u5/yesnI+157/TtWhwz5hiDyihtSTlUXE u9L0wgMb3nP/8ff4CBR9MUjVTGgXkCU33foMur6uyCT0DRquST5dVLTUVa2gsFV8k9qdxV6wglcj Bica/cFI22vL526LyjLAjTfxJqLsqPNI+cFZbI7/vtqLRHC0pUzYjsPu5vwRkQM5TYsfUS+do8vE +WPaMKrCr26Hj1+ICONJbm8MSJuzznHn28CGO9rX+/teWe3De/Jheclf1DLstKNqf6S5rNSs481V hEP25KdAuRi5+U3HS6No+QpzGxg++aLJObOfZnC/vyolOMSz77fUeFtRC07Ih3uJcoZQhiCMv39g jZ2RS5Y66Og50N5fw3V1lluYu4cqyQcF8bplnbq/2CQ6Ys95Hesym+vKPBrkG+jTouDVZFlvgkgF l1tqxy6M2cZJIL+XH9kIMr0iye7Q/NVGVXPTw3MzuarfnDa2uDdkV/kjBk7SUCoGCOcxI0VgAQYG LxugkXgRew6ElWxgiGl7iwLcnNycjtgkgHYFLAjWky5mcuw7abyezZyaN/2eaxhOgWcmDhDMmLIh DfH5ll4yI+0jMSQBsUCBxsZO9AqhsB7NrI39wE6z0c3316lMvEEKZpYkKcJp/sFkF+H9sgBpnz1P EwsZbR/EX+LjXkhf1Op4eJaoKYn5E/pWfBWqxl1NwYVeaUipWmdXZ137viIuh+07fkA1tZOtty3I 1PeI7Zs5+p/t+izcnqpR9dV23m/535Uerb1ZwCBi4Az4pw0BhXR+awPYylYZKbPsHnJxxcACncbY iXDd9gJaDXPqOBbZMMihsSdbRM2F4pKeHvSbkINX0TJa60Y6QMKW5EZzyAeNjyJmRB+t9xM1q4Ky BIud+Jj/dNLcbNYb0nhprq0TogIDjCzKo7BpnmWTzLJdZPPb46dSyGn9x0aukF7OPIlP02qd9aTI g8EFSmqwwYzXW8K6j3J3VWg2TTfbv+MildixcgAZ/tgXl9OS1+tk/mie9BLnHVIUUrjJnonOzD/l nd50HRdpGnaYtsJNKhu4YegDwhZQ/6MWbN96ixJxB+DXdTKqIgi73wl/Iinkg07ubYYO1YfILzHU hM4mhKF/BB+3p1FcwAiBReEs/hkAmD4iIIf1kZ2dTH3l6FJQEXciagWjJ9CDEEFKorfklRNjRe2x luCmH9pLpwBMGkW8Hh3f5cEphQrV/gGdqGAsMl5YbV0jvvAtkZO/OYeheoMcfsbGG4e7dd4+ZoQm JqGxolecvDNvPJkxAvqrPQZFSC0RIZ5ldxJ3D7fq6mUgeZdustOBbCSo/yuzQvsRRLsttI2h2x1m nFKtDVPKSBoN9RZLLOqa/qOp2QsoD4s0lDczJkz1EGrArelWqfdvcGcwXqrryCzun5b32xeC6C6j wt5nFvelXCyFLj5wleOJEHnAIWFdX+0kwy6P7c0MSBGCZOs2BVGHeys89LNIu2u2zhb3aYG27GBA RnLh5Q7h5+DOiu5mdXF5Y9wc6RYF3teq+RdUK3mnyn7PmN1e16G7Zs9rJ9QXjeDORQzdocaKBkoO A9DIppDB6mwjB9rO+RcuKxvfgKa4wg7TSaS+B1tz4EvjuERlTVCVyONfLEdgTZ0eQb0UODG8cPTO CKptYNOV/NXvJ5MB/aRoWg61dyBwNdubl38ASl3LtyEES7rkLcczocpqwL7EUeW+gvTA8gCISbML xPj97+QwNURkHHyu+ycYhgLS3lXmD2wWF/7qCkUDmVy0NyUuKA0Z/XpBCoUHsuMBREyIv/07c6e2 IBjeGTLSSpGJw1dUmdlRz+NMU5DiFa8CRIN9fK4n+Ao5swF6Fftbl1587sgGu3t+TdEbMoxFGYPc QRqjHcnxC1VbfQ1YbDzizgww+/E21SEuKLEOtARdov8li4zCNsweK4eArMwQvz03/hg0+xABqyX4 q13jGtjRS9jF2PCrcWb9/cD2sYJmcApfi8uxRFBtm1IKvtbpV9Z1dTjlg3322rl9DDe9cODIE+uk Rn+YMqsmJYclp7CApkgn1K6B0bU0zMza2K80Qo2z+gxNmi0kdA0TVeNBRTo5NSzp/e8JMPCnzf47 gq+9Vmz+7bXU4cmTRcrAoONxGiCMEZlqhO6Yr6urT4oieTh5FEdektNRHpJO7MxDW4TwJTtrGdpG 3hMt56mYXfOxuQBOpm0zBp1Z8l90+JVHDEU/+xRpJeZaykQVeKZMrQBRU0bgDaZimBSavoKpmu1m rfmFLDWFufy34f3cnPUTwiFNHkg0YeJxLqMcpFsnLk7Uksi6z9A0inIwo8uIh3EyK624ZibDNi8i iZaBoDyM4ZEabKOKSsalNro8If7iDUylVdinXlaiggebmrlohcywHI9ao9aPd5daRBLuCbesqIY0 9dF4pxypxTMniryHpl4mo26AUO4ErJUEgtmksrDE0LcpneHvZWRCfLCabBxk2nuSMXZCg3RRDngd kxGG2b1vWQCH9kwauja8APbZtJxX8Nhs7t7E54NyzU8BBy64WyxGFcLBKFjS0/onygL9hOW2x3fP IOLE6XeA/RvEeJ7UaPRvLGLoKGLqj06xM3nynXG3+DjJcaTTaK22ScrpwwocBYlmhaVRFAfnh5cj thg0qQ0L3Ckcu+lewn2JT7IT1Li+HsbRzEYpfG6UBbMUwD9RbMCYUdyTxeDAEmt2pEPo7Fb7xLnH KBUUGsjf1y3MUkZehkbHcVQHnhksFLDQmfSG0PPqTGL4R8LDsGz2JD0G6bGA9Y5kCLUaIK1qDBvS cZcnSmnszspq1CwsRqlxWZJisjiYtgPvs8cMtq3TVivqAYLasZ58Ha5dIPH65dh8xxxa44D8Xwux onQXeI50QIc5/Fy4wwX3otC6E9O+x+a7CBwYL9l9clLfew/oQEPfqTMaX8hetrjqmsp+BZLPOJE9 6c+X91eP+Xor8fpyiiIxKlnPzM19xbO+SO3ZJ5RTDZWkvK5XQ0t8c/H7uxnR8RSnbLzltekYSRdF 0JCSVn0x3w00/H5KvqUupkYp8RY7QtBiR8y1ASwksuKp2azJJF0AjatnhB8gdnzGCfp48JLH8QbW 32CF1fMHS8FFqk2DUDI8ntbNug6e23LyRMEpgPIbRndDu06rcsr/dUu9AdAEvOrL4vdsM0PQtYor YZ74Hrb54yIzxUZvSMtjtF/h1Ii574bDPoaD0BiTMePuK/k9n5UGoF5A2b7Km79ghP+B1AaYatC2 0jmB3+KRvXZyL9/a2hOuBXGADYMc8+vR0hdHuTAJt1B1vWyv66XuZyLDGH8GmcQGWwQYNshb2g/S 62lNrB5TvTTy9+ZDWESRquBKc4Mo+vyIC47R10HFvQhq5il7a/N+bBhoPQeud1pWBmgOGYaAV4hl /082BomdrhlN1QBqiV9slXK2lEgCEUIy2HEnoSc5a2NLLklkSEKYWniZ4S0y5RCl1XbQ+gPKMUew 9FbD7iosSQmXAi+NzkU4EdgD+yNOc0v4sH2OVSPz9fTBuMrzMYu6PKZimzDatuih8QtFOFzZpuhk kERSwi+FrWrHOGuSubaCg1dJN0Xcpe++04LRoNvvisS9hJxGEztptFt3CYqYFJwd3E1FyjVQeFIW ucsDgh9huiogISG+t7h55kvVsqr0zTjeuqJf1mLN3/3zSzw8zpRucnBC5mC7IuMk7QdZpTYk0ykT D3kIY7e4K5yFg9yLH/OpgMEDUU1SyRCK4Ps4DCX2Lah+RVY6QrG8fDrfgyo4QlZBughEwd9EIsS+ IjIuSJX+DdrlkBr3qMqIFG6LlS8YqPFMDic0C1w+wjahB52w+VreJCrllaArbcbVofQue8IYGKmc d63Y7NBY0daxCC78khxd9wT+1iHm0WXpViQSA/XSSFI8TAZdPRsnTC+sq6DMxyF5Y8YVF3j/jOOK Uj1PeHqkQNk5J2mVjTJArWZzWc5roG+vl6uwnnC/bWMHEwRDXGIJgpfHEUwcSdZ4M8Rm/TazXWj2 sSmPXt1SeG6FrkUBRphcCMRWooc4apL1yUpDtRYEVc7OFSX3YQbEX5vyCFX+loxmEWzmAakMcxCj zMyhEXVGb58taqFCAw/3cLorHzfLjAgSqY6t5NE8hiq+dn5k7II/iFt0Tu5lkT0FdyEpL0J73bZA EUgzcYqo4L0SQ8nvwPXunwHb7g4sYn9PRj1R5Dw0aGfXwnnTpzyMgArQ6A5mpQe57twzJA91kRSI A7n+IiqnzW2Nhh4J3LAz6Z6d92h9DyO8q3h5dHWgNaOa+7nWGlWbE0n0SlCIdwsebhQ6LlpBrTZF b5hirHS7E0/O0mwGvBOBhAtmECgpo1q84e5zSi3i1w54KKk4irGDnRawC35RHez7ZJo8rayKsErH YsNTgtbF9YcAbJtdNUqCEf0GhKhyVUIQygiEVBxIMXuF0Y7jkj7mSXP1snnPfy/HyYaFAyvn6MLa OVF74/ANSF9YWawlTvA3cpJ6GBSSmfFDBYQ5QmU/BB3QKhGvwg+gv+7tAc45B+iFzwogk3Vd5oTT h8nQwe/dtLXu/mALj3sdvWim9JR11OA4WhOi4YXvX7t+iDNdbFgbfG25+9DH2TuVKDRk/HPKCayG rC4uVi6gUA8zxX/dvA8Sv++0sIMzI4plHccjno0v91xRUQHxuP/s+vw8hbxZfJlRQqH/Nww6oye1 5Y+uyWiHS6Z9FIvB5lavdQkwHIM0klzkpnE01DlXe7NtfjG0S3XrZ7Ot1o7eZ+qiBZTG33HnJKhP S860iIIFGlldB7dR0cpUyH8rtPF5TzVkcqBIjP5z03p9xb8LKml3vwILBHIYcgXW+MwGzcNF1v7l UrHCMX+zJocC7F9gS1Gu0+9g7A5IGu19IdoNNVgENqxNIft3I+r41tDGn6FKK8xcPzmBc/WcLsp0 Rz/WKzInP4V+GLOXTlSETGjqx0VeuT596VVWx29Tbm7GtdZ6Jh3HeJnLvLEhdNvo48j7aiBh3bdK SNAOij+aqOQhjelLMbKFvHlUTV3pukfm/+EkXBROHr4QsVeATOTRyKDR/2ChpZu5QXvv+CSGvkfT /sfCPMi3UHJY+jedkUbsxnl1yZYzoO6diH+bj6mFoKqLwVI23MjFMa1yxLkyys/KwPDwGUxdULak RIhY7l1wxg6WK6xmVPHUAGJYf7geX1x6G/H4d9t//UtOZGAhQ+BEWzRA47zUX89IdRFEtIzHGnmv jbqRO2ojRxSrNEyNJHyTrBCQqxjNrBlySExTu0fG3xC8J8jgzcEvKE3uF7IirMaNvXqduBwd+phl C1AYkcYGl6s90CbPJ/NRkONb7IAZba8f2fSWbHUPiEcPPQbslcclh27xSZPng9iT07wOEXtSUo17 eCHjsOfKVUVegecjnewu8izfL+TBQPA57BfSVZ8AGgDAzCVUNxEywY8KDU6HTxwQEYC0GusyqPAg 64368bmAWgYSPUj37jwomlvZBMxzmET8mqJUjR3iaOrTeg8tIzPXcU2/7fbAJ554a1NkFWEr9gLD zjlgscPXzEKjvleSNxfF+Dv9aZELaexDZ+5qiQl3s0Nc38XyYBKjQ2wVZea9xuAZNUb5yRWWQlPJ J3IUITAf8h0x2lAKNZJ4zEwSTu6gWQyYP+ssQuJPgNReuMyk6IhLry7PBLCktNaxuU72RqBVjS2h z7AEinKZkEDjAiwwrMMqUZYLly5k2LsyCffudqnRgupmB8z7lu/GvW81sHn6gZkoaNu/ru+ndJbO Kd7wusHGzZkneNZFeml6AFSHKfAIabMqVqH+Fv9PCPfV1/C8E2gMYF+bo1wPkLKwN90TF5IasHW2 9jhu5zKMG9nIbtaH3IxTxzm28VwRivVWI0M/KZdon0+pTDx7Vdxo+z9NZjXv5zOYPrr8O2zjjzAx f/lpNNWQKjzHWdRiAL4Jg3he+AhIlWFbArvaKTRi8DxVLzp69a2Wan7P86wl7autrOGRCNsMoyyG B0+eYw9BS72BrbqbhhQee3C54tIXxpr863ywutItlqtk08ZvjnTdSNo36oQe92zmHbeWsIaYRNsD tm8wDjgIVA+kzPMEum1CAFSH+bh6qfEPf06PCpLGjyzk63lLtsd5sJEiZTP2XR+eVNfk+uPvaBKl rzCifA0J6e1qCopbdxqZtO7ko0oAMErp91JGBawXvnfC73Y12USrxv7Sea1+yKED7ge4taiQwMI7 njL2L4fmBr6phFyIsVRD6H+V4sDzztEZCpm/R6g/SU/GIsd8cKbF9nvltTnTHHPE/qtUzc/kuvF+ j6ELtlaiz7URWckT3vUpYPak3d4D1Fj9kcFanWhYechKgPQm3DmGa1pp2yYDRaKnBNanm94jERn1 P0eVeg/+esXbiwsTkUeXHZyQBfbZSamqPdGwKlIN94dJjBs5wqjuie7+LyFeyanbYyfnB8mfKAKK y00aX8n8nOZJezTtJg1QhiLWfS75Rek8Ebh32jJzO6yGRGgjfB51qZalA+0P9oTj6JzVGgHEYyKE hbCkwoB3nOPOJ7HtYgr/2C04ORLJryPM5t2XOOfy/aWE2bHjMrnaQLlgNFXlILuiMXo9gorm2T5v ZbUNHG7/dg8gYzB3zSVHYQhOgDVnMyVHLFH5+eo+fDY2796J4kWYgY1SwbNJqJBRe35aUR9zmodR ZyMlQ0XDB5prjDJ1P7fMs4EOVWKaAc7/Clu1TYVvqGG9MlGhK6L+0Fyf2svl0DKtT6bxn+J17Sqq mJ6cbjxsBBZZ9zbYHW08p1IEqaWE2YrfGKNqp3Ya3Z7nASGSYlEMtIUJ9eEuvnkPdir/1KJZTBaX H3BAkD8eb3vqfIXIPsv0hCLDT5fSnLA4V84DY/H1c9ml4PL7BJ7uonYJzpT2TRdlfYNheJusjR33 2txialE6eevsz+2+B5KHVjUqp8Y3Ogn7QLFcDSwwYn7s5b1kUUZ6NDVh2G0qlpgFdEeTDiMii6QJ F+y6wTeCsQE72uJYzb4Pz+gB4Tf9z3WYxc6ixepxJpT5znYF9c4t1kzH+v5NKnM3gKTSoERg2ClG YkkRgdsa/IdR6Ui/B3UuuN9keI1tyUaLayq700Sgg+2hf9zdOwjf/3webTp6H9jUVDCHr0eYBaCv 6TcCB8svvJIdYdRlLjfi2IhkLXfOym5q6LD7nEdBU6nZbIxjio9sdCj7dvKUKWLq1MlkzxChnh2G Ns6tMNTwgEZGYcZ1MZ34R1b4DfjfBNH6Iv82DKdDe5R25Hp0uE1WzNPB5za5uWbKDBnfx50eK+A+ QAu/xeIh3x89xVYWcXSdghPiZpUczaEzqExAvoRIlYfL7HNzCtoNzHCUXsJFziG66qxjzALyhhou EpjTf1Ls/vPnUCRUL+YCzy/UCcJzSRtk+gQmtLfZ3v2k15yyGuF/v7kW5Ta3d50kDJiEnD1DgKyl AFaVbERxBTwxLAuOO7MwYiULT5jj8Ab3yqfmfcy6Cd/B3nJ1MUpdAtV/S5KNx0u7/RoHW0GsySxA N3nL29yyDG/tlUhJ/uJmGtovS7Y9zYJ/gGigXx4ORjkaSAsssgwxrviC7aC2kJzFppleZRc87AC9 mUm9I4vmxRMlQqo2YjG0/ZG8BTb9Hrnm6YUIeIQFrA5JUeJp5p7D6oVaXCAj+8OxbKntiUwNw3d/ rtjoiQAvrLvSmV4Kgeyd1LVNcw8LITdSU34xFMG/NkeFaghR8iGSh6Xf9enTXk+9fNC6lcgTDYlz wFWpMnkr+dpNE6sHVZgOk1BxCVr8ES0zHlz1JjDC7fPmc5YmqnMKZpB7l1z9q0cOr2EFa21BmXoa teralqzaLZQHtZzmEiuar6Pzf2i+4qfAB9/2jyO49YCBX1uBLw5BV4p9Riu1NEeqOM2sDQa67i9I Ao0QKmBde7llm0SycKTC2hZoS9Ecksm66c9YMmKiIIrq2TmhxTMicnAHuXNrF854KJzryMYokKON UhF4f8qV5D+vJfWhRIB0OvhNR7giFR8dzdFERn+NhkZqWHfoZNN/vGgGWLtLRixncukpd2rPovuw yO5FsR4+/Y/CDvJnuhYmI3AddDxcdQmERmzqeQcooeS2N/qoY28IYjtZ5lp1Fm613R/Vy7wTc184 tqY5tPlQdNTXl8Qx+MzPpTpbG0Bmv5dHeGBWhJd3lRpliKo917/8OojjYZueXRJxegJ6gZ66LiGN uSyl8lTivFDYUvHLsOEoyJYiB0x8sMFgwfbk6K5MmuiQI/BMra4a6HmlQQZpJhQMyt5xeFkdKwub ALmhbn9eBZQpxGGC4HsPWExlrpewu5CC7oOAadRpOMKKYB19Wq4EdUBuJRqUUCQIQ7PeTxnefWq9 /PQgrzqxKCmLAG4T7X4LVkMuKPsRUm+nCUCnQQW5Cusj69E4DxyeCNJoyW6bdnIXWBP1Qgw0f9WF 0Xt4vlExE3kz2mFTIrp3mhKO135nmQ3+cyvAxsnwMGrQFfm1wnLCdK1Ir+bT/DjPWyOH72tVo4Vn t3Cmxr7DQsNgTTUvmc+kIQ9JR6ZKGApoF/4VzPjDSCd0f8zmxghX8UL4WxQW+VsrSYZ3MTUfybRq Db3eOcC2Kunw1mIipPSovTW9Z6bL4odJo8388kxAEPuHmt1GWNg6YtO5AA1l2SiwUdc0CVNbAw73 gAgbvOtsIUPmpKEwVyjoC9B0r7EXrxK9bYFE3HSKqTSVn53oRU5DpgbcMo1sapZ9R022GbEba8uJ JrVZtVVbJiSzUyaOm+oA1iRbRy8mRu1uy1xJef35nA9+dC7Oth8+ylaScSNEmAPNwgjU2TI44lch xt7E1vYKB3rD/DDXYBnPKzJkBgGgXA+JdeC74d7OhPp6WCxk8lHh/uEW0bkfP3B7g8cgwi2zv7ol uyFQPx6bMsw/plc9G5cFmX3lR5GwT5bY5yUrRr4T+/AUy0DDJd4Z7rg/btj10gZsIXOJsb7InlHj /ezCLFithspVa+OthcjbmyOZcAR7LqyRWkdLlSVgKZZzaJV8Q77zirCxZYtXNIoLMEQtRonH9fIs /mulpEGcqWnOT+k8S7ixk04ANbJ1Z/gUJaSQZZ7M+hOryAE+LTDwz3ZBm4YEXwOXEBxGTUzKCURz hj4yv/yut7ABrap6jEKCXo2iz7I3+PvbSUmPT/4O7XcKOPJxc9VEa95XY0D8F0KF4dq71+rv1o9l 3CJgE1fOiIkkZtRjRszC5/Lk4QAQCy4mSPBVdjUF4CsAjYT7IGM0jVen1JwSg+9oeeZvRf6/W6GT pF4pRUfCU0Tcu7qmlzU0eaB0vn/0JFw/54tbEYX158elINLaTHt3dsa2rAQz72RxAXWoTc228qCl hM9BgsJOxidGAfXkFmxgh7T834mvw08EbKHzi6R26iBrplFC2F42FWqE1Giiuq0mgRSiSLnKjP4v MupmKps6Cy0UlpyZI8pjzToc5hx5tysMzuwL5cCqY7EFCo601zUP1FcAuFDkMKyFeNrqxS61mWSh NuQ1/lkRbW6iOsH5F4xGryZ/dDy6X3DxX610wV96GXDJ0sUGqYpyn/B4O5qP3PZVbheRSxlFY05M Vjd9hlui6+EC+5TyMDSfPBJjw0tHgRQ9B2DNMJNwXJnInGed/9kSYOk5eFa32Rs80oQghrQEV6nf y1+C+CRz6YDFBuO/kdCCdMh9O3vdwDFkhWezkCWn9+52mXriYuyPX+Ye//2CNUqkzeuSF5pobq34 EKO6l9LOtiTxNIYUUmcQEDgjgGRAtB1uK6dGdhIb8S+q79KsR96yN6d0BWtl1q7qLmDDub+Uwiiq kUthHWjDKUUjP12IXnJT5TwlFnr/W0XISyu0ZtyguBqn9Sf2Fr+giPEjLzoXT4YM8PFFNrP9zrBS LsXV3zHYV92wNOznIq6fcWoIW40Q0wpNePUeLDV1gTCU3uhQaDe9h/7yWkcygRiGRWLQ1z226hx3 AosHV17F7btHm79QGSFOYgtNJauTyJy72EcOW/wO0ZxmpNNXIjtv/PdSreo6KfLnSRAbKsxKJSV+ MKfOxhWiHDz2To/cCFFRsw/oVfBoaldbWZS0YkSzfU3PKfpBMINfnmy3efzsI97ePJ+Xn9+z7nsM yYx+Dyw5b/Bko+QlCBZp5JC7tQM/P1jfPEj+d4ptI8mVDR2tABDFPnHXq39d8Rar88RZIP2xikbf 1HMGcJejfeluig7RiWzJHqbWwE6FxKGlJrPPKcFSe1NAH+X9hQsbEeV/hlHgmT8heY6Q1vP0KR3a zb2XejMVT6RDvzin/1ylcIJF9e7zPhph+8SHCKxdozWd5sK6VelMn65TIESAXuKV+93Nlu5bIMfC uysNbb/qogElPcxAWDrb8nnIWX+F3W0UB7gkIKi6LEWBhFEMMdWrm51CHTWC3KUhoiJFlxvn3hye iD8YlgAL9P9eKfru1rY9utnVY2XS/dG6oLZwUoBozG/vCUl/z2iRK95B8Ic8IKBx+K6ejXxaDIvD 1UdVs8QyrJs/ZN8oJsT0//V2+H1hIfTfZTPxlt3u67gUSUm5+IrBUPauRKccMaoJ5m5movkXNVCO +4+7pTZRQDbuEAKLzkjQANNWGXwexBUJOW51FdQRps7mtstlGGSVJhgSZB8mmckuLP76VPkx1QE6 MUKW2LqjMOCyhIwNmzVekGKHuEHP9OgXDCbUV0/ZUfQFaqnf+Uw+JcPYNnFnDpC9vG8QMMJbL0ky pL/TrH5fByNAtZH7KSHJiazu98OaSSc+yUvH1JcVO/gYDlf8Su8oIWfC9zxmgBhgIERqxtjtGXom 2n6JpVVHXa76MQVVP9W8z4SQOF3XJ22FlbNBRHktAMD8eAVSgH+LyP42d5MedZT2uZIb3x4C0jkE h75VmVoRHCZYCuC0+RlLRQZYPzYy/GrZmLA62roXe2Q5IHsvoBqwOVv2gENX80zmUc3Y48+hF+xj PD3ifE/vunHXnu8VeCKyOLWp1vz8ZiR8m6zAHsWUrVwcgnSeasGeK9RSC6yNNcC7DIu/CLOAmJQl RYwOh1CccYPddi/YZ+D85rabeFraI98dzBUdnic5eHqRU/0t0MRN8UbsTLeitSpVImlgAyPR6XWK 4/qF56Gs1j/IFbqquSps3OlTlfoA4gjHG/cCzelvkPe/k/pZJ1hdZyjObYwFte9F5OD/bBtul2db mw4kC34PC3TcccPOa6ZJwFOAR7iBbv/Fy4NU6ruNVubVuVH2A/+IOQlOMjUWyoYO7t/3PrIzkRVE HxaO6Mbq0cKynlxXZe+Fpz+MIN04wzMr0dRdEWxW3QlKt70P/WQJzaicgEF/XR1dhGCrd8BDWPpn q9dTLTcwQ44JSwl1pUT5RPV793HUKx2zSjuZ+Yk9/mqATrJpKe+HBaPOyw/PjQ1ANFL3pjhw2n1z Wfow1DGOuPAHRVcP7/mJ5or/kgDV3x5W2bPJ+v7y/1fx8hp8cpdb2aYmP9Tf8EopPrp/LF8hzwNT bfi+KC9NGOw1C1Fh/e6DYzTyuDtUBAFwf9pe9s6xYlfq/Wka9rNha7bT9OsVMC4WwO3mjob8e94h heF95R4ukK1WdD7PcFDlqgWjbGbZWud+JOyemU4XZndlFlEOcAkkAy7Z3sUNKDD0HLvoQCp203tA hBk9y/MXrNpMlIE9qWJUa14LPHV41EIs0remPhtBSFAYg0JyNvWspgDx58UBn6cA5k/14EKFa7y/ +T2CtN7moSz71p1ELkOHo3QV5yJ6+13rXCxXpahdbwZUdzFf185ynO3OpEqR3aieqB19Eowmh68m 0mhu47V0cAUZqXIULocg+p8VcT+unUC6tkaFIprQwe2741gNq+X0WKywL2iKIPxhDIhgmtgTL/1U AUIj00M/Me5uQxIa4oT0ODcUisTN0cjyoWn7P7dYal3Gc1DXqnHPvaD7m6ZB42JQOOXnGsmtPuzJ yiTzbqCIOUW8lcodsDvcUtiAV3dCzw6Sr962ScpqlW9u7qwOKhxtxDnSu7ukBuaO+utyzgYL3fSN faH/BeMnx5gpOOvb+OjOXZzpe0qkH0/jKJVNiWdYE5ZUK1ebsS77LJsux5F9+Pd3WgRFtb9WtyK3 +iK15v5CRPQjTdwM6NCjqHDKTbodu+8EKQLT2cznLVIr/d2k4qk4fhT2kdbOUk8ScKcicspJf76U IfgoFJ7xj+U3ofnJnNsytyoE6sPawm2+tuR808EBTN4HZRM1jjcZ/OshPmPmdQGMo66FkC3BlOFE H4kDHAUSSnLs6ELjuovDZTwUwj1oT4wlt0+79U23J4ACmnNzol8FBImHl1rYK5wclwuE5B26buWw fo3SEcBdyOr+bfVDIS57pUYMozdpRl0acz6RzDn/rtBT3ruD5ZyANPSzY82AS6zx8TIe0c3RFon3 LegK8jlJKVsbGvdT5ts0n9cLHHVYR3QkGXlUbqscdyk+5PkXBGS7by8FOM5DNe07puSn4SgrKv53 ++NIwm5TAnkyV7n2AHe5sU2D7hxOkQmQFAgO1ZSb+4ydhenfCLZb5Wbg5QVGNHCE/hmVdEqOTnv3 +qccTClittxGdwAqILxxFrHCBtIwQXarUgQnrHtXYZC+OzH2P0jLveXlVWAD1GAHZgHvzslMGli5 VISvfhDtKxqy2wE1r+rpc/j66orGnmBqaRnX54Q0i19BYUXMiGTtHqHW4NAFggRr5K+orTmgL6Vm CGxLzVYE1EjBE2VdT0hVqW+VwbPwretk0P0hxUZv7YT/7jdBor0Sl/aOinhqi/iInHNhtgRVIimM 0X+QZ0Btxc7zYq7g4tjIk7Ra/qXbT7kkk8Bb6OGEnp4P7V4i6Dvp9S/+xKzWmwbJp+xVAaREPqok fCYCEtCm4RIIvoskiM3DxNxzjrB1uJyQzt0zwJXpv1DWkTkiVWT9KWIhyqIDsQ/1lpwBGAkKK6UT SGF+bdomju+tx8+/xXTGkXKHyaHfEAtkFFGkj2JA+dSbKLs7MYKGa/JJ8w+81oSg13LkfuGGqHyG 0rQ6qyJy/cvX0RuFWZ9GfLAixgbXVZys7zpSNPgKyTbGbB9lGaSNDYvZtzP/L7I7hjpky3Dr3fST 9Gli4kH/6g4DJ4OJuklPIpxo2dM97UUw8jVRw88x0VeLbsi6tOOGk95aV3vfM+QY65FTWSK9YpbK xxicVlot1S33kECyP5hT7102zx0804Sv9YZtluChNn1A7ZMyAV0MxjM8+nvhI2NyAml/ZC6HH6zf /92zsB+DKn9SKGpP+kO/ynL0FIdQg/OU2s6Yozd5LkHwqQUDjbzIu1poF47wOCEAanwXfUNmMKjA F5jkPZmYwz0aA30DwjRoea6Ur0KyUpKCXny96wxOPUChvIHpSXEcb6DyneHC53fmFfghIEOQx9LI qJ/knciU3vhmFujKsikOa1QTcqpbQbOBekC8VCEDClOsjiC1upDWLSpZVzp5EBti7THomYnaPOCq lsywiOsz41N1A+nI2aeYbPF6pk1+CtpumGfPu+vA3jzMA/2s47b3bdHIipA5BbHz7HVu0IiemJq/ Z0QXVK1TML9Z4j2i5qbu9r+8AIFlh96LDrJPn23Xk1BIgqvWXTlaYOu2dM7lzGhThTmcw7cA2X4W klRJNzZ6sc7XzCTsdW+UU9lcGCPc5PTgL8v4nosfwMHWkWLPLe/OhEI4zQwQVszA+nhUgUKFNyBT o/efCD2y3yXLlOEYnn9pzsKWfxEhsUrD2V/Q7R890I/sw0LdtbCtORUQq9Lnxr2Gta9ki62ngU/F 7Y3xQhJns8aXgqZ3falToHuMebg9nDAWpHKM+v3zM9L9WMchfo2icXy8QkiWVWty9X30H8NjtX3Q uXLAVMZY5AFA8GvY+RE8XhnglrmCdztGYU2abun9al3Jd7P6zX9S1UzXBrRQc29HBrBIhb0dh1ug r5E/iJORn3S2iwdKSXzHb8QsGtLhgClAefz19BXAoh8Cmd07daYo1KMIu8buUvjO9FME+F37+xGE DA/sKXgfDJ9O+cceXktyI4kTwj4O/gBmBJU4U2GKo9n7de11klsXa+VaRakwqdhjfHiXJpHD23k+ U21CcawjBLCzyVmehqKhozaMsCY1kG71PG0pW6ISedsVzFve663p+m2TPV64OsagLOdDvOvx0OO1 BXwAsPzdixGGC0SJ3sciurM3wX4ImjbVqBd+XgVgoJWm9lmdSf73WI8UXhyJPAIsJp+IxQ/dmpqC K4pVxbN8ijPO+xW/l/Aq1RiOwY0DDsDoWZTquV4gj41DeJyILfNJMN/yYibyfm4QqQ0GhGqKGt9n DKMZgrAHfl/1Fdfm/I4E1E1Up47xKV6pW5O7H4mPjel/c7kKfoonEpkrP8oraX0XCOtn58VTJXxe zJQjb679LBs7VCar48E707YB9DvFtzlfU/2ehkCvAXL4+ViNHyiKRqzMFvV3f9eMl/CZVrsclJcg qpuODIQ/bmXsFy1uZLlcR+A4/Pcba7bm0RUmqEEOeBHhaiN78iTieVZ8oq3L6Ju9cj6Yvd1kWq43 RShkczHC46Fb8wBbXlKmjOpAMXF+6LdTZzmQNI+lD6AfA7BPSNJ8FzY4kusjyZ2tLaPUWSZIpa4X ycn400LrvBnBlR662o//0tlAdv7u01E++jPryI42mOA1994V5Ca9rcCcbOfJ25RsGDnNiGCVtqqY wsYgrbRec/UWeY5OW9XAIv8Pr3yALIl0ff9VT4YYLel34e33zCMwFoPihNMACtVJs+4hWwtWu49b JOwxt9lw9WeQ35yJgrq92D9W9c9sfxvGAo0P1Lm5ps8Y/5IoxtC+pMVrSgA0xtpaWvWYX66DfRh8 vbzGxeLJihy0Naao98cgipeFSyjR6XrGytS10bLXBs+XxaXmDy8wzidTKRHGt93wXSaDjEpvJsuT Z70pt2269Sfx9JcpOOKtNoO+LgxNKLq9rZfyV0vSA/EzjSLI026GrNWlBWrdOpxNQQmEYnAyDoA/ mj8MJoTgCSR0VwHET1pqtGpKNWBFdtIQRvtEMyIROvR4xUl72D4pGiFi8xRknFo3kUa5HfKEtjZ0 bSdlWxJXBx69MshzHOWxQDvgFh909fCop1qquq+vnYLj3aGSlQ+A/X1nZdttgIKgdgYxE6f4UXVb d1rRY9dXs+sYmjEBwg0wBFIvFyFDkUuO+hJW727f7opg2N0xIAyNAcpM6Zdw8wVW5X/1W8eqF1KF 6nGi42nhVhdracx7OBGbK5f6GLMkyEoDQCW+8d3PfzXucEZ/HX14ZGo5Cz5TpxXfKCDbHBDjnbVD +yMHv8sLvh1CPigafksyzBwXU7zclY794O0Bu1d3qWmZ62x/avHBUqX9zUAKeiiXAdESUg7WNm9Q TI1cwl/2NxpLSyprdggzakFgKneKDsDoM6faaGsQ+uz0qoEiSPeyH80xnAJS0m3Wc4M654BTDJ9W yT1tQaCDOT85Yi0ihtvG7v1o5+gE15n1Xu0TeoM+ekUkcJwqF2hz710r/MmzhJPPvXuugqdFhiyk b4wojXj5whzHuQ3RsJAYd89ZCWvP7bzYB3xdr7CPbprndmGmpteLcgvrP2BKLs1EvQpSnHAAwREe HpalmpXl0KEidWvzy8GzwffFKc2mVlEqKj6l0gELkFyIMJNMzbjLxIjRSduwFf5YlBRtmvWBvAzP FTXyoQMd40d7m/4d4rYEsy9BEwM9/Ppcbc+aubCsreTHPdcnlpBV/wVReqKkcIogKX7+o/sOTvfy caQnqa8R9PUsqJKmRFEJUF4g7468ZEYqceuHt8agPus6GwCl5l6L9Avj87khYqwUcHABRMpW2tA3 eK2Vtb+XmsZDFLnPF5LiEAmnC3i+cP2CHh8d3AfXG2KR32m18Eg2OZgibJMsBtQJ6Jn5M0XpTNH/ X65LQ9I8XS096e4Donja4jwHKUMmE8ABgFLqCIqJf/m10AI8JrGLjUysxdgjDuXvbVf5TBL7PRAp fAS3eWrL/85PK9pdpuhr6IFI7ruP5USeUstz13shEutxb2UiNuQOs0NHdNt9By+9WP5Fy23ak8Al aipS2bZXfAPCBm7s72Ep8uHnqEmWkvnQS1Ignu/pQ5AvH1zfoW1vI27Az1MC/w55TfiCFxwbvGUB qyG43Y86ojpLDpsGxLaZj8aU2Xhmk1VVHRQVHQ9acbSx8JKo7yFl6ZG7BjcZcNuOX1/A1s2bstrC s/8Om04aBNBBAv5PmtgKM1JA4B/fqXtyOSUXYHN5PRVHTauWTwl0GuX4WLK66AdnbqJcASseGUOK 1DF5Zj5Iz99PK9vQTdP6gORNqfBL63uiZRgoWBBXc2CIdxUUxFshGg0PCvqfchDcK3Tc6FXOnGfF opx8vn16jnIQnKdDvKbsBXjWdswoTxSMgSQvZj6Kjesgn9PFcbH3LfyIKZcuQ8ykj9xwzZE4D93d OmiRApE/cI0sfA7+WwOwIMarsMrSHiH6LCeMegplxnA+OEBzQYI/JgjEiN+J8cE03HXpQJl5J7rL cL/QwLP4dO0PJJHxD4znPXT/5yJBCi2ux1LlWud5a7Him+Q7wfBG6FlToMQzHbFX8YRSeRIjMKz4 SYmoOpm8nzL19tLDNeSMYWU0tk0Opzhjx9QRa4rShQfEX/+Kv/YIhXjV8FmQo3OtuZx48V96MQkS Qm72Kila3qIMB+KobatxvOpf2DmgPsfAx482H0yPmzilj3lLKHGdX43lLkqe/15tppbotRx57wvm rNjr+k+NM6fX53PuP49wFsdG/OweTSQZzpNYzOOuP0XRhX9N6+PeO3juLW4N88GMrJnLT4m2x1kZ uEQ8wt1d3NeX/GULaxCXwFRC98n+wb97nlPGtQhss1OJmYeWaQucFWkEicCzHyTi4/bmijMPWk9Q GtM+5BuY+nYpsfyf2A8Rav/ZMERPxS+wtLz0Yx5G1Avv/4M9ICXlFQJp2LzZXHNxdIdOtUFAMSpD gk4stjlGaaJt0WMsRyAIjnDj4k2Sxy2MPZ4LSaFXQ5ggeanLTkBDbGqKv/TnnnJDEP5ECSn6IHnl Yy24bb82ykOMLDYQjfQ+DiyZRE13imwHs/bgxnlK0daOFnlVSnwTNK4wP5lN/4TYz0EADWbkTE80 TjpxlPgkTK2Hx/fIJP0vY9jxVm//MEVKe8/kCe2R99MKSRkemQPaf0y9ItSO9vOR/QmbInTBNb6C +MwdOrWvWdGHoiJ/hX3y0ZFOs538A1YJN4OJMCT/MvhXkrl5ZkLTWF23QVgjIXyefJbV3MHrDYPc KBu0MT6r0nZw5lUXQHOxy+8DUIyzsmAKnYVgjP9fvFcWQXSqBJE2sRXfbiTT8BrzhuXtv1ezIbEd mU+utEoG6W7XR1qC+LqU1UCFMd/P3F7J4Cz+wcKTiCWoGplbvQ+2YoGQ6LlHNY4n+6M3cNJD2GWu /zrOeQ0//e9Bb4KVldlcql6c6v2Srel60RyxWCqiWgEbxQnvYy1biHtoeanAQ2i5iyF49I/Ap83V 2uRgqNy0I4I/+TWXET/5PjVZubWLUvsbYloTH/Lixvf6jEkL1UDgpn4BsEcfDvLDcnuhgV38dLN0 mdNSLxwx6Xz0BxpkFvj9E0gdTjRnUkIoD+Z4h8Na5iQH+MSRoa8vVREcsnMvhJl/KMjJgUJJpMYw r30HwGcAMhKFkP0tzbnoTRCl8iobrmNBiwVUBkmvOK84Cr1r0kJ3AVLysW1iEYKzjg14HwlunRAd AdAQBAJjqo/TQaPmKdT9PbLaYsdou8qo9vDuP7Xr4jMVRYo8VCDnoluREyjLusdKkqtVFka6F0zz QaNb3dL4hnx+mWnmpXv0MZ/j+iM5BqLNsdk97142V1ZB2/T/qrmkEAnaVJoom1Dq88buqntNawo3 6WzgbFlVpKsPolD23DS8sWUxayEoYmhf33egMgviDIBW6IEavjlxKYPtxSsY5W1XsRsM8NHcQ2F/ OFdOqioYifPZrdeYvmHv9zq6taEj2oHEQpjcPZSZJdvgayI03MGDUQha9Tl4QdQNm2ogmbG7K0SS mdXD9+n3Grw/i67StkVm+FtmEreAw+/grsAhdJPc4MIYoJQeBhAVY6fUg+IkaGeGMZEn5uD8mgnR unSabHVPOGxnc4ND7rpaouAlny9fhcy5pXydL3UfquX5+u3WN34NCSKyALXL9e42kcVEpwkDrXeP rjbSGlyN5hI4H34bIfqdzOXhKMXj/Z7YrfJCdG/AqOgUL1NWTAn0MpEh5GZFACOfQMCvuebc5fpG SiKRtxuSdsPkxv0Wt7faOI92r1GvJI/mp+ca2odYb/7szkSI+7Vv+8YxbUpkIxs2qNzkZbXCRE6f JPqNjx79aKaJFVq+ifFkOrad613PxvHySgElTv1rcjTDIBX1RqJN4Xdjur0h01ZuXI0A6ep8hSqp S9C++ejRPAS0OQ8rKr627soVjt9T+4licMV35TW1NezTbmzlIv8ReX1i+NneAmodEzZLY31VCVeU cvq/GkUcq9nBCa++yB6Kn+YQq+V8THg9kQmlOLbCksOnaIE/rkTuV31y3ZEk5Ox6dY857fVQT18P QPUertHglZc2xyiEPpt5oLyZZefZffnnJOirvTxsuQpdxPdfiZjunaKjC7hHmPk35j7LnNIy39WQ 4VMAMlAkIg2MVDNY0QUr+NgS34mGkOpG5iJHc963VQbfSIhqytgZ0vo+nVdnm6Yp4evgfN+PvTN2 lueIauxbZWIeSo0MGqxzZHV8CUQfgpKKTX6OsbvESJ5s8dcwI97jeF5cFcFBWu+MSSWHraMBanfO Vgc9AeyD7ghsmsuG/nYWGoS3pdyI/WnAk8eQppPqBZ1zPJgXSy2cPoKbVXKgaJeTZCBDYHa3iy0q Cb+Y5s3s5Vu3DWrbf0pGzP2a43ezuTQxSsUCnia56STIlxSFeP6twrmlQLuOjzUaH1svg1/oB63k KMTOlz6dPZCU3h3inG7CsT7BpE0q4vlC3s9gWK8pI1nafqB6OSUs2HeODQzUIJVqsaxM9fq1jAVq 7TffThVgQv8lv4r6IAr5svIpfkJrvKMPVsU+9ZYshH8WVdvfppdALZvDYVq/V+GKGBx3yGjWI5sa pb68WKvxKMt8NP1qVCIwxkT0rh8uUHvj9c8z3SFeDXgJIIvKNeaY3WeGci6wERA/o/XUK3Ck9lU2 Zfmxt/2t7Kec9m4trOznN2QrPtxN+/2g4bY1v8CS8NAekBLjmax81BEQfUwFLx/JtTuJBXWIVRNF 5eJusgrUw3JoV+INO0GKi0BwC9NoQuWegci0C6JDsgzDeptmO2casXlXJDUcesz/0vMZhzrtzhM4 YyDPAfJyFfaE2jpAL+nP7sBdwE7PP/4P9ltu3TYMltBLhjrGIrxXoqLvs42QYG4iMhqSMH38hNmN fN9M9YmQl02dlX0oTcXxbfujr8VkXwwTNc73Kds4FhJHaR1xQ16+Be0K001j6TQvFYi++27Srsjq kLhq9TahGQPS4DrLNK0zj6WB/cl/APCoGkaT9BzOsMtLtO6RS9VjLHyP3MIEel0fakE6blZFBLO7 HfdDDE5Mt3CHuNJsV0L/Ngcl8Pg4ZTKXnTFjGITB6dSujy+Cirfrydekns1/42qsRStvfL1zd+0f HTA1FrDVJ3Syi9e9x03+3+9AoJDa93SYZNBYqjlixCEqUYGg8q80K5nA7/ZUplJouLukUhLODavK DCb5qXr0VtQfSBS5Q27VdvHbxSO8pYROAB/KiBxsopcwWad4A2XN/v+LZEdB8ECv4PzhL2uuJKwe sCWwy2rbnL9JGQYLKbyCz7yTuDqJ+RRgwrllWgBbd+nP3FNtwWeLkqN4rsFtDJE2Rz4nIVh+ceBt var2h2VU3k641WZSqnq1F+6ODE2gqXJKonZjL8Tgsuf0W0PkOJSQ8/Gw5my6y0po+7IPPg+wvnz9 4hbThZoY/McBoI2mNT6gKCSBbAFPaeHvtExVkb7aHG/l+CNhYjYIfLwWKeEhhtPd0U2EVFoNSR/F 6quUSPvnTgG8dnvGAP9wZHHnlSU6CTj0CQh7yqRM7c93EPBOLayE1fpDkGNTJHO+of7ivfRdA7E7 pBOKaexIQNfOmcg4q3CcaHfW6nkH/j8u+IUsbwoEJiGnh4iZgJmyf+JDiPL1rwhZ4KfV+3NiCpeK Jjg0lnEf9EXAp8D6/eKZ9KUE+QwzriV8LY0C777FF6E0szXgHi2Bm/exhze0YWEexoLscwALtVJi h+cUImYFYCIqFZwo2n4/iq4ap54KecJTo/YOjHrBlBeQ+wDoqToeSbXjAG+UM8p2KhQRlHynj6H1 2be7iRF2wIxZZuiMTy1IOCDE55NEg0PkcCM4k1voHBQV3cTjSnnjmanT4+zKDQ0Qftwp1hkLQkKP c7Mlk4Vk/DzWiJayf1lBxFpiSKw0i6pbVPRVrN1M2zrbi4kglFubM94lbg25rljTNrN/uqca/+KI VGE6czjfliQRiHHfxdS3K7yaujK5o+ePS5HfiASmIz2lLJoYo4HZw/0Q4ZfSb+dZQAGO2lozR3yD gq7nQ4BFKvE5e/+vljhdGBnrAHmyMayahK1yvDUyIwFJHszqc+y+FeIezDfUw1CYce+DMVZaaIiy MW2IEO46QheHppmEry21Ypzci6vtkV5Eoymhi2KEFFng50NqCMXKYk9We9riT2LAIposwIef4D9w zqsEoPgckHsPd+SG9vuqoLH3z9FOxmSlRmAdGDUlezNauTcCEtDGshMRCgWj/pOffOkJWcKQonoT GL/syVADI0SaOlOc1xzy8oNs6jVQUns7ETj9bw3t66Jc93s4NupiB3fLBjq2frMonFVGragvaEXt VAcbl2cgDL9gV40qH7AAh2kS//QL+9JRO9n8Ix+5tAeFN+7bkipWgph4dT88kICOX2G+3zH2ims1 V8gIXXr89wq31IA9GR5K0SWEOqwP3w+aWlNK2k0WF5r58RouRrZlhHROY/dTyLg7ZsAAPPz+2dzM fuq5q3QWEJ0j3t1ROcnbHMU4kx6osT8JaCccjuZZf7MkEO+A8+QQ3HMWQtFqZ1zWLFHwu+DLLm4C 9ZaoNQGII0IZy7PJVJYBSmM5vZDn6qJWvI3/rk6gaS1i/TsAxaU/y3HYwHcO0k+VnbYIeNrPn5jB 7mHlz4CroEtGuz/nEtpP7dkdWM//qh4Wo+51t6eHbCVLI5DhjUINglH5VfBZ9lU/AmNuUcY6VVDB CwcUdrkuK/zX1bWjjEG0s9mEqPEbw2PymQHT//m3aMm/KUqgoolyVOkrKI2gI+GrTl+F3/bdPpWZ EblF33QmySKGzg2sdKjRBSBy2sKt7e5B9v/QElj6kAeN+jRj6in/p5P3Jwhtl2TMw3oIKnpvv1mi 1t2J2skw7AMOg3zEUOL+s1KMY6Yvuzq4pjVhI8bVTKPGrHkDZgrzmtBM/Yvd2x8Bl0M93w1YQBp/ O6Dj3spofJPc+JHsWmUzXx0HsYotnc6ItbBG1Bo/OuyEM4pBE0SH9kXqnY9ud5kz/Mq1f8hha6VC 5DVnHVVQbHTme+XlgJdVH/xd0+4x+5sm77ccZoLw5J0Dlotzl8vkllXkzWtaYsji5rPMjWhJtIT8 ADKjssYFY/JqdWZr2gHk1bknCU+dFebI3YLktGlEl/6dsQhzEhaX4qPHOEoi24pK2xO3dMJtA9Ro nVhb7B0m8iYF0WiobYx8lz1utKCfneeYnbgvZWUk17XoZJ3f4RcGnkvxLLaSd2IiuW18nYPCviMJ VF0cT5Ed2EBiAaVUer/LFghU5m2+917DJ3qSiHaXAVp57TBxYlJev0UAM8ZRbGxR4mjIgDxcgYLj IsmB5D3LqivQByubLl32ZUiLHKBzJlcpGLGFflESfDL38jtDbm4Zb5Az/oFFeETIS+v6uQP6uO0o IIE5vvIeEKaN2ujNbFtLQWtVG7UD743BI1nD00MBWzrZ8MmOLAoEJQKtyBNcmU7SquXiWwEv83lU gwkPCWG4GHhBhLjqPfEVcFaex9f2y7WSlenDneWF4E7ouhoeHDHHfCJk7+DyhqUTX2fqJSO27lAg k0woZKWxuBmi1/I3Uc3PTV7PkxyGPbrDCkFYcfqZsBz3kZRgK3OPAvcU7IPoHyloEm560RApzEAN N3ukl/hxuHv1EGimT8ROVcnI2wV5CEN5ijiYuGC+hyCSHpxfwpQGfYktwAlq1W7IHDZNUlTFCPu1 OLKZqyqnzYRtLprrAwYDcAgLVp/yx/GAdwt92i00+aHCBdbU48/ewx99tiJQQ/EONBHqADPFUEY1 x7JOwtMWS1r1Bj5J8d0KCExu/G7njJd6+53xtCeqqwAyMTPFaEBMv9HfkKflGwz3qRPPhF4s+at3 10XIV25w5uqjSsliqISdhV4dvWyYC0dIWULl0KIAYEtB1dR3bxYEEq2zvOGshtzDp61pdYuO/Oqb SEHMDgxuY8ZHtgFN2PNUPvt3V7ci8tG2QOajutGNeSqSjvgJayIUKjYPdmek1PxMbJ7pAv0x5eGd GDq9IRtWFtdEvcv94RyizIstYMy7mrZ/J2gexuWpVNXpv8HBArucE9HyESo4tOaxE2n0H029ssUh zRodyCv7jyjTakyD2MTi8VGTEfkxrd08jc7jg1aOI1lcky/v7PvQvH9H0Rx5eRnx2kg1+9yLRifp UR0kuWzUjnQgB62pep+ztOwBq4Tu8muqxEEf8ppbUOulIftVzhQaLZXS4maZRYCH5yt9drjv9GyU 58nNnJ7lsXxbivc46pS8D3DDYu8BsEXPAFRbj8ED1svvQmauIKjg6oL0GjWZVu4Agp1iCTZ59sQi vnu0ZSvXjufq96LkklIdjzGPzntvEYXKPRjhvd22P8sKQYjX3bOQCoMpsB30fHHsGe2vJNJJQYgf nEljEEBn2A3uYcnSFKTKVDVRPjOypMz9V3LUxsQsx5BDBI1exHdRCrw7bGvOnWEDblLb/fLrgTar p0aaRTcObTw4a59KIsMJno5ETSG3ioMEppDu5IX21ZxkS0/j/7G+bU455m3F5LwlyqWYu6fMOyy8 gN5kzsVbZj9g3kPXLdAzLlOvdpBTbQEInzPkc0aS4/A/iK3W9eKCJyXO3k2nb/JbsBRyFFIY9sqo Ze/9v9utbjuWnFDP+Jqp8B/+dJME33kVbbf4aYi5fxPwj1KlyHq5pQnYFTeVTkBlHL1JBqA3XKVQ taYDpuzduxaE85XfNCgKUV0SvmL6KCgDjw7BOd7mSlUvBHXtrxCedSoeAKUobIMvI26YGFQ3uD4g HrmRvR5L3I7k3T18amt8otjT/t8gp55N7+AeG1Yck/77N4cDzs9c7dFqaquT8PsoaHVwIhNpU7sp D+VmyVCfUo7Z1qw8NUAMruiB9vZqAAhY0zvW1yp8pjbRK2YcbpZ2OsEfTEG2NU+rDSXP5VZAAaFZ nM7QrWijUi8M5xGJCuiwm3I4WfiIsjO6OcifZZ0SnroahHTZ1utVWbD9diNHHlTE9f6yUaofV4m7 QTxfClVM2BZ9k808/H091t2WgnoHqKd5GCwiu0/f9IzQG6QZpbCiX3YHVl8aqVbqgJadkiV0GFaK HVEA5n8EVLcMH2U7X1W/qwIwuwxrEp3K56oanaGhCHMTLvZELhpahIQ9w8mC7dNxzNrsb1lVq0Q4 lWa3TKRq/bH57i8ZYYdGQ5Z2fw/jS73tGuMRpdaaCZTC00FiyK7DHBiLdNjVF1pH1T6dBT6cI+0T N1fgYNbtTY+S8UKeFTnl+X5teXFX0Vq9SlPoLaGzIMERIpbOA3B+wfdhWxidcIhQJGTERwSmajG+ vY1xVAuEZ+LlAHs5QyR2e2ZizYA5HF/t6GJCTswnuf7M3Z7ZJooJF/SBQbdDWDJk0cAF2TCP4v9e rISeHQGQrxVYh5zX/CbMuGBPkzTcX3E3DDKQhvpeAYpz7jxEziH4OrusmA5wNFZ+FLPXhGVORRVM gNkCfMrRMLdrStRTFd2ZUkYr5bHb3+rP0FFAQ1QSZV6ZX1ieSvVJw9W9cKmV1yeVTvW7XkBzHfzH Zs9GifWqTKwDtE76TETm1aEvXwCUkRkzKH8tszhzX25HBnL9ohU6Vy+0ZNsE8uHKnLAow8KLdzEB 7u094u7BIC5HpAEUdw4Si0rljN9QVFekZ8wb7MNBODGRNplPJkMMFW0a59o3FjePiHI+vAluTKKu 2feGdVAoExaC3qPe+afxG7yuen5YMjHjCWvUFeMPllSJlHmPZb0VHyB3PHFJerHhTnvSqmvJC8sO MSZMd6GuN8P5AtS9qfdyKovD9+7k8FkF/TE8Ee9MWChSkZZ6AvaVT++Ec9GbVsrcRsjSK9UyZOdM fJghRdIlYcxwOzRJUR/QbE+j25vxsRMt0U5wxf2Ta5MRGAutf2F23s0h6d1WOSdX5R+bbRz9YpEF FGjNNzFYa2ywkLdPyCAs2YmZi+p2DKIe/GxMH/doPutSN4N+u9gGK1QXGKxdS38311S5qD/hl2y9 DGke+YxqinzuLnY+DECakm8JoNWKUlcQM0+LUhqTo8su3fuDaCGUYd4rgQplcdxRK7qCsINfimdO B4GTDP3vtgAvODOBj3Lr5ZfMAzaNI39m6EqKsfgpKJ9w7QNVsshPdVyu/53mvvY6Znfy6yjPEI3+ 6wqKUd1u6/4FThEzSlOvtd6PIwNIGwDUmqr5F5dvOp1uxeOTJHDwg5UAeT4LO7GcX+uGUhVFvEHE Wery7gqYOYifqhLM0u51ynqOtIdoFFttoYAwNBN2KAh4W5ivp2bJOpSXg1qaj+D2nPNeihKLf4xW VbtMLPEyopmCkhcalUcz7b0O4MheoCEgk/XRa5Mfdb4oIZvrWbJGRBthd609cuJ2PKxwA0HgOVam yAhEGj/ehrEjYlgm72jFRI7K241kyh/AA4Jgv6qgbjIiTqrtX6Rl+PjHnX3WnyD6i7ECmEUGgsUj GYzu86M01VA9ftb11yu4ngsQRJuojWEG0xmaO/xbVmR6rCSrUnehmQlg+EA5sRQTo54ZlaTyP9rN KLDJ4BYZ+883eYWjjqsS4/FiUh5hOJBQGTSZj5bYOfypRWi3KdafGU0nKwN1gJZigz4B17y2Nh8z 0uFvQwoc36X5MwnMCTnXL7YZalH9VCWwV3ENIoY3T1XikmTPlouKRhLvsl+9hoQBvQULpYzH1MMn J9UyczEPTjJPrMs0cMuGZkWHTZMGzZ3KWnQ0+9Q4O5LVp9vorxXiDeQf+W5L3c3ruJbSruXibaKr R7WItdFZJ4uqxWHAtf5+tyt9mvKJvTIQ0eT8mJb/BOWC1KHiX6AlSG6Mkn9U8Wm0rD4mnEyJXa2t BwXJ8D0FTJjOM+5oe/WnCYS/w7I7nSi12FUQEVWLbWb2qsJcyM2IavTXUsvsXz3yJgDVxSFJN7Di RCgObi44ofLCJlKwJBCivyqhkoqE1Rl53JbIJR8hBzIUfLzI8RtRivL6tau3TI5eABF5OX4CoEB+ 27LLrflME/rndz6aJw1JREWVXfrnfg38sUF67EkB7Fp2J+WV0jAYC+W1n1VnKhnj+6Lpmj+dQiSh Ifowh2pwyeTD50y8DTkXh0luVhpXlmTCtKTF5DXdtAqHb+OetQC3pU0u/gFt4xbvSxSk3W+nL5vL N/fvFhJkNNSzKD5VpE5Ff9dTY5376Eg/nU2hNZ+r4Tr/JS5VgqzSAlnU+Ulu7dYzHdabR4UYdqL7 mJwSYaPKQieAiloqRf3+EoL8SdC03VUdFDCSBU4kYWkyF20uCjwdeDlPgikmNAEFoXucYCpiG4bx t0t/3bov1VNpqM0qfP5DtiP0dqJXNbGX+7GIbS+GElCa9fS+fHdgs2u1mZS6UhRSSTNUkG9AfKgg SdQu3zoefZgvDBqZQAnVuV4NTnN251HmGpWQHMbMLtPukklBmzndWm5rO4BCTJh7cJhg8wEsPtPw l9Kl1hSFT03X2Tk+yttEDxg9KrwNmYkK61r+iOivwMF1qzDuLqS3zv6xD10EnbuFwb5rliiadpPM /cLwZxDDWGcE9ETYdFsCwaG+M+nlX2CmYQrcmZTzysSnmdWKbHt/lDRHh724pAnephNH0C8iDjQ8 3ftH5O1ykJ/HJFXUockWwde+ORtHLgy8T68rv+Ysr1Rj0jLZwjq16i6o6yWtMS7BtNl4Ct8DWfOh hLI6kzdJt3S+m91VMK9taOsvacJ7rYu242gojpNUyOUKfZnkDJtd7hAvEAbaJGsvmMSclSB4cnUx GPp1PVAOjgGzn7Cf9Prfj5FaN74uoofEE6LIW25p/yAi1bgisG7BQRzwYRHYXVFY4jx8WKTBUhPc I7kouN9EdDrHAOqMBILtWNmxPeW4e5g43f0RX8KpHdg4D8Q5RSdN1OFBKUfjm33FtVykgzzeoEUv TRrdj8B5RXnbC2Fu9KyA8SMXQhwEUQEBorDqSHEUkiHQX4h2r09CI/z4WiPoc5/USN4lMOrsXgHT hvU+ybwVyqMoZyf5GdZIukQgYf2gynNMtPpVUa4ijear5a40MchmByzeFziY5M+Zz+uSHhWkmL60 FcJX50wDkY9B+08QPB44LAJ74A4YQfaDSce8h/6IJB5xLWaKB2upGuUCaKDTVbxhxRPBTDNBBswL iGBxi4JLO5oREtWnmGDO0DfU6HHIQhX4i1o/PFhM9HeyaMIEQ+TU7CVz5FrKSEcQsCDJzpCS13iT mf2oasLlP/UWgz9mZOQvWQvWYISo0KrkrcMufZOQi3+lj78dYYqHwYDax1N8zaG/6KTAZMjaaq1U AuG3FBSqOo2D/503bqfnouqn17Mcyj/u3jMR2hdEBNAaIOycHq4Lvgl1Vo8LEqlbgcrqvDe6OkPb BLmwHQpSOYEAln7LeP+2NO/gXGsrgk/8maVX8UcyMzkq53zSwdI5aPtfHIcK+fFuLIw2uXu9nJVm iO0IB2aM3FnVju+y4bWXIFAdchpqW5menLe2U3GbZg2QuIVa9DilunBaN9QR1ADFTv2tQYROCDwg Bc+6YVbjztV1krR+hdj+7vpBk8SnyqoBoLXWDIssDZ9Q6DJCX82fL7ViUOK06oaG0LqLWoYcYoO8 ZRko+RiKXbbZY+1C+upTCO9ga929Eorfi3oooO6KGb7Bs53koD+sUjN4FjrINkdeJ/R/gr+c2rr0 OAEi4bIZx8CCUtmIZ2iVaUeAiCg84h0V0N4gYwZT7UeEtZ4mtserjwSgyC6RYuRLYstukKFbth0s vAmVz2gBwMjJoRdi2xDO8oNgeEWU3R7Mlx7mE7UHYc+rHj7IjmhDhjKgfsDH66BYwLixbJ4nTZa1 5gD+jiZZIfczrQyfVIdZaY3iKtJg3X82+3EM4WXrmkumlNrpRhEyUtlH4rIiH7kjyc1tnNSOvZYy 4oBRUqQ7dg5blCKyu1SMAg5jpcoCeOYfQsrNFXt+TI3XqA7CzVA/fs8kNBSVx/oWe8MLOyRy5S/F a4pqX0gZKCNCGmJS/zWH7D0xpTlKlXhMA4NwbW7amZokweBodylQnE/Vrrz/tz2LPeOsHK+KfAVt PNZZXTuzmreZwZNPNeenE0vG6vSmbdfgbwohkVN3jPQocsq091h7mNnvqcDzDQHdtFl5VKqvnoNI x9itTpwRYYrY5YavGjYVfwdYtzbA0cEfeY0WhyN8qI5KNJv+BQE9+udDF/HJVHbRO6dU5UuDh9Q6 iYsiPYEWncAP/ltisjiFfqhHs2vp9oi9gGSFqbOjwap8VtgsBZvWpm8l+uCwvtjMLXquHv0kxYuB ixUP2hQP8zRFioiPqp8i4mfoFQeJLpgNS0WdrPQA6sJQMdFKyvBb/iTVx71Oo6SvDq8dB1F9Fync +hAIFnK+eMeafyERaRYiaHLko86S2LADvUf9mSMdI/N4+De6/pOmaTjsfJC0YJ86uOSmT+LcWbjA 2DecwO4lUpIDwmhy1jhQ8kWUpgNUFK6XRhbef/OY0r4/VZY6F7LEsFX+ZYsmWEEJf9L8b7xXcEYi KEezQstuj2Du9Nr5FXwmBT8p7BN0BYVHbeBkwUEV9a9dMm2zxwUseuKvstNMkY9F3aJieT3d1Rew a1dG2kIRSx/w3RavDRUXt/gep3keQ+ugfe7YsTRGozt6Bu1ySuscwmNYppcWOD1Fkdna4PiAep9U 2Ott7snW7BOaUHHkbYrPb7ROqkzZMRSLpcJkNcY9bb/yLYL8KJKONcD3wa4nBJ035ZMdx2dHlCxq GuFZ1KCzwcHDo0l8QAyf+wG6HEYFCAqEHWiqwPyF63lbMwFy0OHCgXbBWs9RZiAS6dI01G6UoRj7 gaLwk3LrAfdREnrTxqp97kfRTHYDUkfU03j5LtljVtaGoAsV6YgPEqelIw7QrVZ2IF49rw0ERuKQ 5N/j7wXxN+3mMEuCAn4lg5n0/EHBqZrHP7VY7XYt8jaqnAcBmIQTOJ6BUq18akx72dCK+lxBGBPW ZskQ8gQzT0ZS2irLmrWuy7uM/b6Va1nw5ZU/g8yTzs39+Zd974rMcpj985JcHapcwudl/jmrWAQt N20O6jD/54fVInMQxouu0YH/sWV1T2rCUAWPMaiVRlrm4kqcvKxHWW5NFXEpEJm2bbWCfkn8Rmji hFXBwaqLJ0mfgYyobCuay4x6ZdabUBC0wss9fwlgdB56hMEPiclPr9cMLnD0C83EvFxV4jCIFRTX LNxFOj9fPmcsPsIyLiqaBB2wqBM95+k0Nya9Kq6AnnEOv/xsemMD2I576i2FUc8jTO4QmFJ4VeOE 0C5H81UMssdAztQCX9H4lsqg8kgsAjElsBm3eQq9/OrkYVK9BZ3FKdA05ELjveNbJr2Af5iB/taC 20Opi7q7DOiSSVt7SH3eZ5dETFH+7RHcF+eTQ5V9VqNsKKPTc9L/QRqWG3SP9GP3kDbY8dBNwzSV 5wVVEpawNpM21lOHUz8VPVyNNJuFCcohRrWMCvL2RmRenT4xVYupXjIq8w/GByI1BWQARty31exn SEeJkpW7bqNFf3TnGo6kDdol/16EW9+Zy436Q7KRtYvBTAlo4YX7ILYyIA16CXBcnQQVXssVVYCf 9Bq2qKMRJAww772wl14nRhfUzNRZwHg7e+hzI+3U+iUGPdoUPJuj4QccfITPpoQwNeIPwaIpVMNn u2d/I4aYaKtojSj6gvBK5oz5/D8KJGvxa6HSWaTkgT/GOBUgiJ9N2smTRRcJFwcMH4xc7eTSHf6k ldx83ih7Q3jjyUFPoFixKO0kaOo4/JbYVteQx2ZftpNQp1gGZOuwmSyMqquq96Ltkv/3No2tdPuc KKqcntFGVsGVvXlPjcrWvjcKozSeQJgzi4NTCDhwC59YmNfAMf/iMdCz5nZ+EnQlCVfWtFKW7oWr dAqoMZNIDX1EPKfSRv/7kNou8fX93UuyS6EL3RQd3btwsQpT1MBbNPwLMtuIsof1Jg5GFbiCX6Tm CnvjFC5Jb1Fuvsz12b1SM+13y46UqrRLvUw7eBTMOxGYt5eny+uB1KoykW5NNVQpRbqF+GCpmxgr hiK1fSLbY+s8f21E05cfkBs7PpawGZXbAUbntcMP6ubgvO+0YsBiXX9NC7Vi5JVkjI6WU3Ai8io0 c5Vg/xGFzGw0rx03UHPQ+4vU5JMWACsd6i27PHJ/SeXbeqv56SNdiEBKcy45y787fjY3iDzHy0qb RJU8WbHw2OKs97XuGsB5MPeXIp9bk0yYdkjEhxSrgixfpCuQSX61ygQgFHdQN8rep+3gKCP813SP vSZPIisJWcnXG4Til4GbuzrEgDTiRxbVouFU+gEY5knz3B1WRdP8tQZ8BGpPaAUmRwd+NHnqJ8eU knHKNzIIfUED+Gd0p8z4ZINfH76ZZbrtu59ypg867JKdl/K9X9skkeF7R4uRxbQAULKm4E5vhtHG XOjQYTNHqN0c2zOgbsCaft8tnTHNxAlsmr6Djb5iWcreE1M/flV4uRj4XCa6pk1nD+Ohvxny4Zuc eUVJDBk/+bjuMK84CvTtcVJYK69JKoizfS5/Ss36f85aA0tRUY/pnoBn4SyVXrlcbAPhMy6GrZLz 0ZcE9nq5z89SL2e2u9maDq+ds4uqEryUUVAsVf9j4LQ71D49t4Fp1K/ClUEvo+TTDp5tC0XiScV1 2EpcUsb2ScmkVMa1ZHlJy5JOIEv+IpntrvzNBmV+A0JvXfaMPrNdP/xYXrwk3RCDrfljCMDa6ybg ZrwVaRfqUef/NJgQbF9C+dCQShf/hrOWsT0RzfuUtUu1+2kcDl37JGAcCIcmYo7guUk73+u1FsP9 Z2QcT1YVjXC3xmUTEoPKJsNxZhp8Rjss3H647FEfaj0ZyRUZaljnQF0RdK+Ntynw/hdnqoPIkDiM ULUC/RYsHVBB3UnSEp3oQCMn4Fg349wcD5FSTnASFTtG56RiE9b9r2cp/csw+25tSAohsfwCzZ6X yNitaK1heQnwza3bX2DTbcu+ruXA3ohaf70Naa7+lR/ki0DTpxTkAwZIp5T7LX+QVrxCsnswx/jD +aZwkOfDKxfxr3qyMTHZGvaxZF1clQfoZe85m75VWEQO36krEgXxnayw6HQ4q2MRCNgs2q08GYgU gtlDhq7RKX7bkUyeUIS8iVvvltge44keWLFnbMLrjOMPlp1uMbOraFjkbw32KMAwwGNzMhL71nI3 svNf4oAOmEKj/23pqFMuddB+n5b3yWvUPHtt52Q9DZdiZjrbhx0W1NGKJ/TRII0QgVanyA6iNvxM eRvngtMW0zcMD7X8w2A/XRrAImrEWO9g1T68Yx/7xIRfeMUrleb8U8euD7kZ0O4L86/qPLhDVaWA tVJ6zPSR4PaqYuz2VsDHp+Z5f9rAbGcMOYenySMGC/4ggThsMGb20MF9H4XVbz3Zn+NZGZgEf43s hFJYgsRhbRUhlHv/iRG4gAS+KnSjVVQ+GLH/3U8I3c5kqknCeJWT6Vo98NlUAqdqwBW8+J2yChEE OVnEl1mnry8cCPPEMVTSwoydgRZB5AdgAU1iiuFMqdPEA0xG+aK2/g4/NMu+sfa/k+wJ2Oz8OUmr UrPsO+YFN+RSSUSa4r+CNFD3gqU6ad3GQGGm3PTzyN4Xw3dG3IQtwKhBPyHzOwJ4kP4RmPABLQCf mctBg+6L3b8XaQIniJMDvIW2P8V+Og2dRWk27ArBW7kppfBZFKb+//maOHugGfdpobZcDtCKm86B PaNuCT0Dfb/vAl+prwKp6M/KnuyqPW+cRqiNDX7PLUVqnyTTsIYYvvvNmuWWj5RvgYi2csgcKcqs Jjq2uemdnPcwG4qmGa9XC6iOzVO34k9nebCniKm86okZQbYZfEm5S4Oi7cj303zfJ54FA6bZyY9z SLCZrYombuXJ0V5JoBBktpsqxEvLgp8b94kaguwnGtKfBOfxX8GNfIGW6zs0092rfXInoGQBKpwV ptnFle8SslXA3Pftjh0McoJzzS215jDNaBibAq7FO/C//5zbPFTpGAUCpzjuykF7aBVWiFhIukrO R/evyrR6Hra037dBkhI3Dsur3817PXkKbPGbSvPaRNS4HMDE196MvfUsW5mpl8PmunzIdQA12e/J IIOYCunTMpQgto+FKtcYQQFgtNptK8SiMYziX11lcnA/sCPXxATavz3ZUmYYGiSFL0LO4bM4bVIc 4uUfilmBVLzawu3MPSC7glL8DUDHpjIUSw4ltPZcJM7XI0i4o4FIBzq0ucUh1iUkfh48HNaYSXFO 9Y0pZDSXus8oYQdaY7jT2vriBTcmsk7ziTOxgiwDpVM8oUCmcPyj+EJaN1yV/+ARlmBV/0wBdW4l 1Saq8z3bN6PH4n9oEOq/evRGg61kSLqphgC0+9jBPSMTJqqxSZTMeECrRz9lHnL+bXxi5XvUAppj ApVgMKaNhvn4Ox4fEIXLZvipO4GmdekWRe6pyJVNbAFRIsZvNIGWn9vhrEj6isuPvkMfsXIOXHl3 RVWgiphzTqSbaVPVqWsQ57a2yiyrHpczeBTqKFSO3eVOaJKGO6nlNmfxEcRTjEvEHuAZPMwG5xyb /O4t8vb6u4vbePbKdjbEwc/HBymfolTj2+IEJZ3ZiKdCMzqgxlmw9kf4FOYPbAFy+3/aTtOO0LSx 3NHBn7ML9N9ZBnoBriVc7N8i6XRsjXg93JIiE8bwQwdolwB9Y8H+o638iASEfJRl8LIuZodQ+Xur S9BptBu5FkurFh61ph9lXJqb8EjHVpeOYfqLluAnS087v4JiNK5AkNLscLJZ71+79H5r0Zu6+Tux SQ//ian7WTrJDSUUfHpF5FlaDECZpuWppqfG/BnZ6Z58m64C/zbpoJLYq9OErZRrQ+jKZLirEkE/ 7qy0HFtHQxF4q+H9s2cGia4+PWMTMmCp3oZiLqSlcZyFrkoXbKo0eid2gLZLc3seO4VUtUaWgBR/ F02yxdRki5bs/5re7I83Xipi+zKY0gclJudrjLCkIN+oZLcQW9XP0o+Z+flHZdKU3iRp//ALp9rr jTB0otqguVxBli6zbwtaSUBR5YSUp2s6OmNTAEHseO7lWlbigsVLiAvEC6yAj0M1shO1XxWLEQV4 RBQtz8ZkF6eR/d9gjZrFczTfvACs8QnHOe6//rXK9ND5JaFIS29E5tLhMqqv9ySRWY8IWeBZGqKp wHSHbs+NThQpYrjjrKkSXjQBYt7d6GHQHdMnehQK7St4jvz3Oz8yB9JfHtEkrOhCFLZuztQzVGI/ jvZ7ZL1LubLcSXPeLixrtA9KqIcdwSKYcB4B2iyqVwof3RwJj60/sbJqIeBugUeSCACqtbak8fIh sMxjuOTJMAR2BYfgtXX4ywGHLUdICxYtl7C0e1+ymVy8r52SaZtmQYsj2aaJaMzDIsLg7+cpoE7+ im5qykc0T2TFqrUWgUmH2k6iN4zlTzbDlS1LTpajSMfht2TTyTSWLvfIbwiGYOSefLBlw03d8rtU NL4scnt4lSOVPhBeMMlTGMyHEqhc7daTqGwvknj/q27txA/s2fTfZWzIW6plmk7OMCHFVArEbzT6 T3Yhrd075Atd2OUodlACKkptVHi6cR6GvpT5gn15+hO9DwQUhuR64DNx+XgVRV6mzJpNGsRftyPt P1ROs4mSYkXemHQD08QdXCT+hannAFM8qKEs9xj9u7Wd5T4Ud6m6meAaO2VA3nFC2NEU74b01sl5 kP4bsGEXoqYvlvZQYFr9EaHJikwS1bAMswkBJlXa3G1RDxwD7CyOAW7uz549JJo7Fs3G4Qpt1S5r yo65hByAvdiA+jZZ305Vd+LoLcCP0hIEqwtiLY79OP/9UJI1UHzosqz7WFuHzkspSMjJFCkI6a52 AcUOSnMJvj2pkeaEH6+LVTEX2jmwKt5iHZ2RZ/Na6/nZnipTFnC9RBm31rtXFqbWJGEP5zf3CzMP b8DvnrqOh9UdEdkpLfgJYkOv883j32ewgbx1i45joWTVzw0FFFnCTDVxjnVJ7sn0FdhW/Ns1tm4C qrb/nhaHxA4fGlB7VOn5PE/HtZ+GM1Sct03vfvdrrSJ60jFeNhiTS/5RzaayvySxChf2RM/TJ6Mr u6F7hvW28RhIrU4foZV/FdHcAiYnCJS4ac6BTFLwEew4zcyzy9r8DE0cxrksUlzfc6f4AMgy9grv Dxa4yvWIHf1RDJPxAguDex5KtKCJF3OFVKxlkOhvxwwXxpulNGEoDhqDZnFxXy6YWma3LAHOtme0 rf2P/GOwApLLUhYLKZFWLVL6ycE4de9rGzsq69E4AcVXzai8YHvcwrNREG6kart7dLAN0Rj6BJzI W5unQrbiwhHl4Ur2nrAptsX0hMRyzLBjoe6ZOBPYcW3eaz19pQgyq/Id+b1/HKZirxr+CMbSA4xw iSBvirzQdZA8fe6UGCDF8eiCV5stvEpxvEuEmJWT09dHzeM4+eNxQhKCqWjF35uA4By/YWaD7PaU mTWG+YQYZAw0OsXYOI3rsHeaO/9eTBUy9DhhGBmKPYgCF6NR1+b1Uw5F9/JoJSen8Wf0umM+IH1m Qa7Hqx57BrO7zqBUCADsvqTWe93LYLmVFYh5IMD+we8f7oxgfWyfxiMhIpsS49eU6oGcsnDAWNPo FXW3cRDOXkg06eDAOlC6yw/GW13h7diCg5/yegOxeemFii+uCB5ypJlA488q6ieLdrkkoTMHNV/q 4uzg/6yMcv/rdYUd3OawiT50Vy02pPmbMzYjU+I/qpkLKxkihXfQJVyKpYSvnSGNJi4owZBRQYSU q1sCbTGB5PNPYk4P/VG376CmkqWb2SBfoZeRQ/J95utXtI2UV+z4L9fkkkBLLjYNdKPhJVBOUvBP f7YbyU7PLl+uxQakcLnTyyFS1noM2Vbg36zpdChtR10ee5mP37tEn57FGZgMXFfD7l+TsXXJSXL8 LDtGtYXlK06rZGCwyjW7IOyEhFiZgphhT3pcnPjcllkoMHrX75nTk9//NFx86tqlRoENaAY0UqYT LajsQc+SDGlMBhk3o0mzryNgORPvlFKsVwj4hsGL0D217P3oWfSawHF7kuTkkIvsLd2fzcwoq43U NpTXj9ESR8W9oyMYhu5KsVhmWE1RjWmOKXJux3sh6Q3k4mTz9wfNpFm5mpEIUyfGVIfTO4IYtvBm hgU+LfZTpWoPZhFhmwVPdyCx7Q/gZ2EJSWzPGc3KQ9mFfQBL1CSIJYt8p0WUokS5F45hDoDQdG1o KzRleMX/l557En2D/S0pEvOHwYO8QjxlSWA6GRMtnwr/yCJk+VoKZH3KjbiboJ17TQHJfCBjSSw+ CyZBfW6egODKjjf55QfVBoyGGsdDWFNvm115lCgbBkwZEgD7P+mi1gjC96+3RM2csQagSVaMNCkk RnLTHTQV3ANECvB0uPmEUSiQgQd7MeP89anjKtXBhOKNejRBfqgiZajbOyqt3uYVVJLQdDvHMffc G1rjbQ8AekwcECOHthqx7XIDcCqMkntLMpENfzvEdldKmNymYwwNG8TWTqRTh+t/EaW7b0Q735US uihX0KtBxoSVWoRxJHLuA2q3WcqLyvujJ4lJxxRarmWq6BnrnqAV1BQUUY83HO0CNPY/V2bhYvhx HcqgJPxukEn/nwvpX7FdZz2EfNJp61WggkRkYyUqFqJcosvNSJVrVuvGo/zDhFvvgXvRRvrg7bNE cwnsmieMyVCWVLJlxnHrfTSSkDGJGKui3RlTAbzqP7pHjRyGHW7RvZdpQAfnWGpNslYrUqMJvEsk Ctw/swrOKAhTOki6ffHXjZYpeASOW+Zx2tTD7xSpeqg5R8iDh60Lxqf/ySBQCztqyobo139YsxEH SbYtyM5sGhT3pm65AXBdkO8Y7oJ2ks1/+TesFz9oxgqMK3r841x0krYf1nhjQLxeh+WgRMRGp583 QSpjEuyN1u4G2lxd/glratVz8j0wKm/dH7oQiuCkPS/kEH1HpRvuJF0dfl2Q5uxapbxRvmTSdIiX mBa2nFXkWQ616pvcIFRWKyCpc66G5UgRyc4aa15UhSAsZPD7o6ejvNFJ58TS6f/v1TNqEJa1KPQn qWY9bZGAdkSOZktjvrK58cqLmN+yOh1O327lhpaQ3zd//Vuj5X7Jv+TwlnkZkptI8MuIFtEVbqdb MGf2dk7l5I9JyDrQ+Kj/LQYpCX9xjj+7J6gBnSPcJk7yTLaf5tjiRkdpuw6t9fPc6O/32BblDfqW R5hvRnNSLDIxUfOzxY79aU6bevSmd819MKTKy/oWdHHuiLsLS+OqcqTB41hrushpvW3zGxq/SwY9 VvPGOkZxPq3//1rkfb9ejMXSChUU2T9vYfz/H+sV0S5U5k+S8+KD3PkmsSMlBJf8H4YO6gCwuZ52 51rhW6vvWjumHilM42oAhFh8LKGqZgCzBCHHPiQ/rNbStXKP7K9zGZa9d9OlT3Nn3Y78nc7xRkoJ bCvOdbKudTzhiRVf4eFk5fr+ISroegLeOy7FgwKyPJzeolALnHht5IJLYqUCgYfGsqNVuRLJN9NF eqTwcgGkoH6t34xg9NlZirYyQj0BlB0LB0ANWeHqrABtFW9sCepxaIHz0ImZFAVHmzVslBZwCo0A 2MPR2Ga6qnIZ1ZCWUdPRtvyO/brEQwfKFvq7PgGlJ+Mbwj2gDvuMGz+XY7Iu3pvV2yu4kwjVNd2D OWA5ulDGrsOjZhDqedyR20QiMq2V4OMXhDJUNHUm6bs9vuHHMT6c+iKt+X1Qysgvg35n0PjH33Ye FsJWfL6iTQb1hrBdFpZ28yZgQUvBON0lbADGAURCnSa5MywSDrMWYvo2X8FaVbM5Wh70zRlvQmuK YFq2dHzX7FvnWTo8AQWjyf0id27BAlIkujcmUoz2apbfjZDx8f4i36SLpUGBglXBxoua8pinFG/B HcWxy4R3ChujcIFjRWUY6OzNUm9ATgetz81pkR9QCPfMpIrb1ywue4tRS4yvlY+EadXRWTKZxwJ+ 00EGfQeobKnErvNT9451/zjf7zVFuk73blZAfKIxlogPKvt9rt7dx5+ocacwTvzZjQ+JNTHITJXV iWuztwwYSBKtyVn23S11uPZHT+YwnqcTDW5u07uD0XAqwROqfAX/dDNM4dFvyNlbdr2Kmyd1Btb+ YnJCdPldHQNmZreOU6sg0CsSN7LUP2unhh5Q+mZPACFOfmEXR1z6Jku6JsRR+5zs6eKPxhe3lAvl 8vx0/cPcNkun8+QZAJ38OeVVxgTWV0Wvwas6hE88RuKmABT0MCJFuZ3mDj0H/VoMFMe9pt/hCN7M POnFTnDBjq2t+mew9UdPZ9dGa2bCFXXzFAd/fQNxNOr6msvU1g4bRMXIsO99mtoBQSJRh7bRF35g NkLDyjdKrHKz+PdwzHEoZyMJYPcXAKmEKBh3n5LbDbVn4TlA+Y4jVgUiXP1rNqpth4eAxzomKPXq L6PD0J59ioKT+k6AiClMX3JOIraqxQ8ptsspLhTrc32CanQHuuXG4cSiF2t5kLZowlSpxquBp4ww wHla4GeIAY0KCd5kCMW+RrtZGR0qz0EL3r7S3Xgm/mwQeTXT+WkErvndzXoiALefHFaMLwfUzGGc E+kwLNkLpyQbdmZBeuClz4B+ROY/+XLklI0QAviRWcoS67ujCOu/MbKrYi5GTyrlIfbZEoKBDg7D hgOxZ2AuiWITZSwiTIOUoI0u2AaaWOfvU34Ia5yvagLvfjIy7rhxIt1IKP6dea17FavytBRE5Oht oWEQWj/Cdwbh4WYyBaalpI6RkIk/gck81K7nJXnWubVdJk0T6W2uj5qbRGkRVPbhmgNrfNuk4Y/m TdIH/pKv8Dmh70G+zzj6OFW6lmAHbhRnmgUJk6ZQuPcJGEUiQl+8zXS0RNckH98gx9joTVFiEH2D A2V5Jfczm/B9xNiJ3Y1wRxeaLNm3H3x/uwjDVpNtHBXM+MaVXJ0yAZxMw1ZaBsvi9skJTI2Bx4kE FbSJWRYygPHDUt6MlT7besgqz0I1buRduSTE23PguSlZLn9vqjQ6n0hqA8oQ0DnsWefBUm3Ha+95 66PF6uucji34F5+AZL9fVjoE+chu/8qJIlCFy3aXreA//a5+C/tFnHEQVgyDARTZGp4s4b/iLPA2 jSAmR8FJzyaCcLK7xoy+B8YeAgxycAFidCtZShfDANZTuUeFWsCUiBPzwdaAkMFKWCBP6JADNjsZ YXt/TB09BWlu8wnaMtskvr0aOmIC8jOh86lcpbsTf3L2zGi2xHpQhD5W79AWPaaoElOe4wXHccYi z8B7crPz6l2dJ4jm15gLFkFLS/H5+vkqxkQbrXs9gYiJ6C6SonAAJLSd1eCwnOjZDhAHiVEUXucb LSfMoX0MoxT1MzmadfTlbvfb4g88F9IWHGXrlE/HwJIawTf72Nk2uMEPCJLYl6zLXijfqSXh2iA4 b3/kXodJb/vjbeKqz+aQll6M/RvdaH6iD6P4afTZ4BGlKsYXqGnyCMlM/q440vJLRDofPIA+wt2r aMcljb2gYRsR2q6Bgm0h/yyIiKh77gFqEHwSBbTk+mDWI4buBzarwbujUtbCLZnITK8zttkOnSfP 53yFd0cTVP3+e4C/GCm5JfUGgu8YX3VOLEGoFKpG20Fg6FfOqvjDbSuTVpfq9w9+tYaTKRvjiwbQ vSFdJKze6zgsxM9VK4ntGah9iGPgjv6LuXSxtGcP432GovqHbbXEXKZZQqAKNuBAPa5PCb8OIboC rOs8XYo4xBNQYIaUAIXl3llvfcstgAxhc+x3NQKtEmL+ZFNAgvR04I1IEWB3E9gQ/Kt5tkC3qcZK GAsBi2EdK1uuEz6IpXydHD3HDNRTwWTdqKSlqZK1vD7PsS7tjh8/SLXOE8mNaDEd5fscYgevfISC HOMWht9q4btGZJlc4YcOsxEMa4EGBJMouV/08M+I7A6t/vfRiHgdeq/0oPa7bM7xzo6iqLCimzXu l9X+jHAOCMKifUiHud/3B57T6aKMkIsKRj4uz9xoCg09pmbwH3/2lXXmc2nFL+NZh8dkmN9LOUYp 0qjmfQAnKOlVOHUDJlOVVgTpv52pzxwhrn9AUpx+76Y+v5rEhlI9zQhQcMsg0d8i82X3Qr+kP9Xm /aGEkoj4T4rp2znEEeEUXr74OLCAOvbPH88ThFRPzYWCY2OjPPEWLlsBe6aTWBazt2XbwK0GBXBd jCMFROj1MpMCJhimCNww4eOU47ewBx4V0QOJhVU1tekFxFphayX9PGoWE57bEWEMYNX+S0gquoPi y1h0xii4JLB9iVl1vBaWklL2TVDgrQA39DfAQnni5LtnsG+G2+wffD8o4+5TsWuGQj8NGwq9Ltmq 7ZSkpZpPa40QGPaYs3tWtppIiDMfxNrEE9A9Ash0fwyd9eaHSIntpnnXCmWHuEpN1H67LZyUIR/n 4VtM7SWWqsdpbi4ysxLlpmlKVsCT8BmX/62imEEvpRMFa1T4P5CDWKRw0kn8igMwAiYivBjvymIR R1D4FMl6JE2e1i2QQygJefNP3Msp7V8TtirdB7FcA8TL8Lquy9OSDm6rdZRiSedmF9Co4NXjrR+I X7Zgto653HY3KsVsjFdqkOLtMK15qULyyGteVbTpAzUGjq8Ejju55gSL3KJ9MieGobn0tjdSFk+j Y5pgI8wXN3gvlB3zzoMAU4NbEoFM4OcKAKgjJfbMLWSb0hXB31jO5HT2wRKOZzO6JWNh9tNDNTHX jzucvZBJs3CJHtC64DQ5bihssSCtWqJP7mfFvakRZDv1zOxrA+fZitoDHrbEH6d63tXArdxJMOPk 8bC9k0OOFr90E8cHbAC1zcKIkY+2EI6TH9LsP3c2L0nTKqyeRs/GgZaZ/3YU8qdu/jPL4crkS+kO G849xoPIq1d3jePaH+WYk91+t764WXUxQ18PuqB0MndISUvD0Zu8yPqyvbD60kpSLeYkqFK+3igO MP93VuVFRXSckB5Cph0riR5kwQFHe2U3BdIlDpNk/W2bwwZXIjHNwhf9lb/i4l8EbnVCyzTboFQG a7I1jPeZoUvRogQ+yTrs7oQBQmXWe/g+JO7LivTo/aPAgTdBV+IrJBU/GS/8Wea3nmsSC8TSiVoK n6sX9d6x4qvoWtvgj9ghqB5BtiWsLfRy/NgbfEZrfuRGXMRp7McvSTsnc0JiUxRbvqHIn7FRYXZj PKDKrrtFDBqKZzEWlZXRJ9P0nSnbrmrzzAZqV7z7yZrZlxJWZwk8mTEYzNSYZIvmyZZx3zSoqCgv C27wRQW7yMPpB0KH+k3z8EWr3mN3RDtFvrLFyKrRN3vSloZG9p2uaRcOAoYiZgoMoFSvroNNc5mM cZe9uQKY+iOiITj5HtyFp/JJ1eWnaYqPDFOIHPsEMIuP/K8u+fh+3ypMt93sqPPq2y8yCzIdoCz4 HxeNM+5TsqxegFGFodO/PJxD5igM+VjWd3oDDKNxMR92QoR44ZhnLgne/zACM4htwg2pnJvCexqh Mrmuo1brG6ozaGGzuObLAlmZ+q3Ky7EJOJ4MS4SEkNZtSFlkYAhyBd+Eqe2jJE1U1fjGZGUc6Bq1 6T5EZWJeZQgtW6K+yYL/h0jjGwv1sqZtZCsY7sijuxKpJpeBmpEDezv9Wi/q0lxa2NwVjhdE5hL5 nG4mhbmUJmKu717D1Zc2p8ifZ9nVRk8aotWh/rGYWyiTxY17rL+3PF87sb4Dahqrqcijqsw1j/eb Evw9GMmusilHCb2uU/apEg8EofJUqQ3YCOTJHE4r/YFhd3RPDZZtKzgH2n0iP3fbN4+R1/BBt0cc MDoyQshtW4BB0t5XCSKYIagBcqL05K6R940BrcVpC/JvgjG9H1wGsbAPGOfQQbHoV6QZu1pFI55B P0o8ePGjI0RHJZLSUYxSsI3jDk5ixVn0jk6H/t66qcpTe0CF5AVRGDw7II91Iuc5pp8f8AIckTvh jwepJwBHwEp3ETmimZ9I045ZeTI7nEmJThzlHH9ybiDJRYZZZeXhBe3NudkVvXv2mmtX5XpC0tTn 0KHUHbSl2dY82qkckQKgwKdiKsLW5042Z8aBJ/wVT4FqiJCzPFwIGp+IF2016QHkPp7EfQ2+Ish2 J28vmcrLjlJ4gYO3DhTlv0G7eiTB4fGbqzYH/9NjrX+S5ynGzBr5hykoEScqnO4Z1sN2NpVdFTmX krX6mAj6FjSl3fHUl+6koKj/GkLyXhfAzCbolfWMpBh9n2CdVfAPmgm05Q9sX9038OA8gBKLrkKv S/EqACuwoPBKd+tPJmE8SMXoQMAaJhgcYhZ1e5OEFLdDLYroWwPYKncbZ08K5HxzS3baaBq9Efrl SVS561Qef1s0E5j/5c9eLYHw4H+iP4tbPWt2mrc7FM/vClBEHH1m3qhsdlK4+k0Sq6a5wdlfWIPU KgKVZEjxx7hXFwtILQH6LwDzVuTvq2yzcBHn9j1lGgi8afjR5BU6FpaovYhwjPpI0l5zM0/v1xT8 GcDZzSAriVsy6be06q5/KRdwBLRU2lVYREX/TIsRykl0fipBEJ+D8XMT/e8/i90gZxrFTif2vFFC sFBYboHFZKgJR5J4QBt5Uyt8BQWrLTtfVnwiRrYJC7lCEGJYCG7u1WuphlIB0md+vQxaJ57CqLot cW++w0zKYu90jcjPY3cHxbR73j55ugbny3NDNWPALjevGRmoQyjdc15HUhCnuS+1LVCtCQjQsqbB TlaSOm6OrWoDboRXiE7+I6JQKbz/DcXAu016sYAsAhg/+N8DVmhKBWAG0fvQh0ND1Sm4tspTMsQk DltAA+G1CZuVIC7FkfcUbEfhH6Ocdb0OdGQNnllmdp2YqSunZv9AfNDhac18tYgtQCV1Oow4PIuU P77krbaP5Lh6DbYgW1kFNwYd9FPM/bE/pqN5/WarUQiT2WLUIUfktUfVoxm07nT6MmpRnWh/9D9z 1PFtlj+03CQCB8UZBlNIDFyHBlFAHqWhHclDBazH6njKdc+mYLtzz0DZotYcJWkzNophCJKJ/uDF E6MQyghfrileEtho1gQjEED5AzJg6LOo05l95fqtH3PJ0WPoi4SDIxAnUZmW0H8OIDP0Hgy0D/jk /mJyTtupPcO6KEPVzdYdmIpp9vVBfx8Wsxt3AlKeX4bakZMjcDdvBmB1rGncuSN60p0UGO/OB/ys Em3NbEifu7XjGUxgjONbIQls+cDbrwS5yCaCCDidTdssGgeqpe94j5hFha8K91pyonTlUTwA/K9F QizZlqjM4MwrNpxzjPQ7LT1a9WEltpq+QbuqzJfIxpK954XAAiLKZZ90iicMsr58OCjhTZ01uNP+ UY7EKejyS1XfEFvBo1ParQkAvHVYaHyntl0mvR/86uMqW557nj/VVWjBWftpmNML2x1o/4KtZw4T hItDSq8gD1jqgafnxMwghw/4ENA+SvWFNhyc+YhMwQYOkPX5jgJBe43hkDq1OjllPS8EjCvnl5AO 4MFCFMY3Gm8Ifo8gk5die8hm8ftD8FMFCftUpRJB8He0lPnGqYhFuo4HEIHNCF1djFPq/eO+t9me adTrXCV1p7MJxrgzFSli0pz56JB2Zys72jRjwi2Hwbk234WgBFiJK1vnvMj//WTAdnCCMyQjuLF2 OMpV5ZDi/X6ngpV42V9xZforGuXtu72FJbXGDLyPsrBwfifE3RKKD+R72hMwUatS1Nim16ZSX6Tr 5JDLPZxwIcZvYmKzEPDmcbg8J8Hragwwh8y9ygYe7sDbX8RHS091+xzgIR8PmyrUHPoVUxvp/qyg mRHRC/+G8PJYcdDVGORGcsWHIfrsVGVJ4y/JSPBbTSKfdc6Wqv469OhkuKTi5rAGLR+bp/Ss1prU 53cjGAsykMwoX0iVegRdBFpr+jEzvmgkhV4RwEj0Ce+KqEXKlUeU1mhOP73AD2YPvoWoun6LoA79 qjWVQfJ/IhFeJksJoWZ4KLDTIGPH0gtlwXY0wP0ZerPaIbdGN5m+aQ1xqsUlE/7Igdhj4k32uts9 6bMwfKSfADhl6VJm6+KeJH9QB+wQmUC75QKUxxaRIyC6BxiGTOqN1FsleM5SRc4HrRlZBv0mkhaA +HPcI+inFIyD7rvE133PxNVET7vxAcJCvJer0zw3+GNzplz5jIZtPW83ANTA/9B1oMtL5MOyTL5t mhPFR3GIQ+L5I/v7MBy/p9J5TeDSJagfe8HvkAFL09HoDI+nrGvwFxslKC1B3A7+O/hdZzjSNRwy dmNXXJutrozOGrFHxklQMYOTTb2Wm6kDcRyjDeUwR879tCIUE7X+PI05r4we2cLXYU3v8EYEUb1b qv29lG9YokxJvn6rjs2vKDsQLFb83M3lqUBmaRriaC4CgS7W4SeULJEjNciZNajaRt9k5+ftdpic Zn/2cNt8tSSAE3myjiFIc7lzLQt99seTOZ5F3AkpxKfm/fTlbWizwrESeSgGWbEvPWB0Fnjr024a eHf0H4/G9IjgToqaeJMFeSbc4KAUgmaIyvuI3xMwM1yDXo2h1kWl5HP5feNLSMtHN9nvI9F7pZdW mrYzsVPgoi91bsaNGYjmXqQSayiE/5w4n4tZc66N7PCZWyT88wteeRksgBAsIYPZeZM6xLZYdMQg EpYVaHUz5A3xJ3psL9j0apKZNq0DjMlDcWupE74zX3K1gqJjuHs8WcUqTVHOORLJKgNRWgfdxKLO yJT/O6Pe5Ze7kvxeilU23AgzXyoF6Q7XSrZfEA6ZFzldXMgCv2ZJhdb7jZsBrh+o1680DqGnvA3T WgrKczb58rue8Mqj508Ew1+V/IBFEikMj4DNDrxiaftOytxs9dncdbNcuibo+MdAImw6Bijjoikm jmbd99NfMHBwveS4FJOm0+e12IMqcWF8KKWpC+2xtwLvk5akwX2WRa2N5nWw3CjQjPx7yuDc94B+ VrKZClCKNnNM1T1BfxJiYbzauJVv3gTmJQHA7w3rx6PidFJxIh7sT78v6VQilfT93R0AstVmIQAM XYKVuSr6yYbsuVaMY/IPfITfVx14NOBMjkcqWEKPzsiQYNeqqcNLN2oyXnt4I0G3BnRg0YR2QpVP 8HMq3XzrR3CsOUBXunN9HOOyUp76cnDn49+ShJah5TMfPO4tuuwM6NFr/czl3mENZAfUrmhBbDJC S0ibckC01ce1IzdF/jnX3yVxv3CUciZXpubiJCqrwfiaRyBIv6PaAe3mVo3BWW38Dj5Nm4n3rizk eYeP7b1E3EKcJYAMwTtQD0NJXiXxKgUYb5uSJdloJekKJnA5FLTAKZl4jFW5BgJ3Xn8e/mT8Uj/z 6HKcLKWSJrIV9GmayZsmhrFW1G2ol5hkQ734DUigC/EsDNI3LUdqdFzM74Hm2qqpFrcq0z07+TVb J3hKyM07xy/81CoJm83/VVNij5HDVZDYivTrp4isLMNIohPOXISrZZ8o0hZwOSKatmDWIy2er6es uZBHMmfBqRO7H83e6AbxEMOP2d3o5DIgK1cNCs/nyqRvl79v61QM7b6Pb3A4Emz9FA8QGdDb3ioI Y2DPLRvFYERf25pkcEH7HxTJ3284MFlSC2D11FkMVirPcJuwWvkYLshRjqox2Ta9W53G8o/2juNF sDEMIzESPIUJ0kcJ7XhMcU72wu9TuZ7ZMa9P5VUbD/YOlbsQveXRIOjdGDk//FYJsRB9zP/VZTXS ENcaAD+M0EUkPDvJbTvlgHRgfb1wEQNXLsZ/TRr/bJIiEkic4uJcfCZfWiQmKveF7rqmDwu0Iu6v gNfN07DokJzswYKKcoZZbNJZyyklvBVxd5UmVmQb/NhooGZsOI/Blw/E/7wWkQYwFc70mifxbpoV oKca5D9Bf8Ejc5Hunq9ptbVXbiKstwOUpvuse8G7S4zQSI4BASJHaOgjwst+NnOJrL0HC4kGcIHc nVgrochelnODqjqNC8dCb52zs3+BlS1KGsiRsuz8r4/DPlzRxwc5hJz403zTR5FWFV+4L3EQFDYy ZKOUV6l2WkBlCI7U3rciUc5XHjCrQUX7+l3Lffif7mFFWCWIRvRlOKJtdgFvAX+/vHiXAr4LtnPz ZC4KO7AIfClbxRtGBkW7HKA8G0xT9rbyCSBVSK43FmR+J7FPEKVynkvHaZmzmruCOA0xq1Nkugow uzt/SQM58eQe/OnxCImQAAVvkx37aDgOYyie0I3pbQ+8si3CO70J1SskQOIdhky9lAkXyjnWjEH6 f4qXfMqtv94JbK65E2Cymjo89npeul0XA64jlSFj6YdeIuvJxWyPVwFTTM4MyeW+awSVOyqLXqfT sVLYa70ILS6H5TWjlWoRJ882jpkzEIO1TEIYXEC6574I+rjBFfqMT+pO8ghP+OCJwD9llueBi9/w G5IieUngF4E/I8xTlYvhcFhZCRMYGlAXjR/XsDakPRX9HD7q5SQ+JmNfk8uZmcJvduXg7Nu+p6eL GKbOAiUnksTfvml8UiKkq7rowHW2vjeslpGYoAYdIdC6mk9uV463xIH1oO9PUUCivgDm3NfKRqzH 0EBt7q1r7EhqaZqjKUtCusO/gvsICPcu6wXfQbjicJ0f0dRERcgqYzDg4/nSIY0Wz4DnY6DRSSdv 2lIMJboojNM40DUMTdS7ZeXyPkufZKdVz6Qjt8/pS/qeIFrFgh7SGwBpInZEG3tqq2DPJTBr5uct mFMHU2axxhelC4ZYFgldCfCujnsrA03DzD4JRv+85d0EzdulMa+PLZX4sn/hgfte6w87QkQaz0Vc JDNnfdiIG/eQIQOOEDtYnIX/ZcztXLh3CU4aN/zCzeNRBEeyth0vnUDWK8uXRdori0LLXcRg3H3p J0rkCTSTqpjOHdo+ulgyNrFrgKkW7ds6wcAc2lnmzxGNjrsOotkW0f5e7Zb4XPxZKNoMZPTLMjC1 ZhBWlVtaT6PwSfb7yMbuLp1+LDjvpMaEiTItaiTyA3LAerUQmVRFX0zk+DWi3MCCKyKQhofEJRCl vSQfrXVQNckVLDuA5gM2dqmUwBDWzlmvz6fV6n+7jFe2G3SD0Vc4+09VNlhV7L81r0bGtH6f+x0v nX+ModFrhzlDwrzHabBvrTboZ4L9X6re2oGmvGfcV1a3aWxBLQfsCeNTDB/ne70DXUTMUY+7kE5+ MRx6xFJ80T95xZd+R/MhIIRGaumHkl5WtobNm049zfT3+M92Dx1K4A+Ce2M+81miYUl51jO3l7JX n/nq71JogcgUFv9NDS5qe7qXRyjQ6FZGDWGQDkDxzKAO+Q8hOTMIyPuETRoYPC4VLgoA1/k2ArVq sH6/YFOEU2zdXhKdOwPAy5CMbgmHZ3qsPVsHOcATOHpu5FsdxBnvfsiGeHiF2pJ+S1SerMjdFiHG Ia6yrueMWxsUU+pAJK5sQfZ8AJffOk5L+ukAUbOtPYkpRQpKLdiiKrt9kswTmbUlA5Di2UfHpQ/o iIQFyTa7QKgRNuQpLxTf/x5H7YuIpttDUcoSuWmHPACnDOvdleYrVzat5urkbeGJJzUaIrj+0R/k ypStVnN9s3JsxUOJO03xVjNyGI4bnwqjFD0TrEGcoJqpIzYAhs5Y59h7LRh0UP3q5V7s4ftQdFQO Hhe+8RvJI5IOlielmA6A+xK5boJNXtiDoI77eIN+Mf0T74akKMo1abbSHPhglPCXZk27vde8pSpe ZmnlyM1n+KpNKYB9oqU5QGem6qpsb6QP/skJvH7o95NdIrk8giXLBQhgtNvSMkR8jw/mJ+AbhPHJ ugpS+5t5nf48b+zc0nZ90zhUIR0x3ZER+8TAeDuMH6N/CWyNN+Cc9hKHuHGQkk5G1eaawLwbrgPU HoVPYd8JPpqOzOJg3ISSH+X9cpK1Oh7UPS0cmUHrcky8vcGCW4rq0Bx/NZr3tikeu8fKxk6TCHfb Zr7DAL+RVaaW14ku93gsbANXMTlHK/KifFxnTc3MIk4A8oQNODNy/KiYr5qk3IesUE/gOBzNpxuj p0b/f2kB99raF25eKPEkhk9EytJXDxI36y8er/1CNOqoxtrgzDp05N2r8xENiiQ1KJ5k7UxO+IA6 Kd6170wpC9Ilikvgu7WwaIZtbkb8l37i5leJlt7x4EMTgaP5cdSTmBHYyfWT9MkwSp1Zc0zusrGM mjEagZoifI8kfIzgEKMokmDeY1mac/RckrPGZ7APZ0iKr7jL0CGNbB+NgdtTFvRnfoN4bsji3JXo xQgLH/tzB/TbttEj21Ox24mczKP5q8KaDD24+Ej8Hbi9VIK38Yb3pTvb9R+BIRTVEJMB7HlEov1h Zr2cpz/yRRkt+DLsvW7XFTzpAjGKcI6Ad12wKL8mp8Msb9bwGIBQyM7JmO1IFyE8z35tyCQoe36K 49CssCjbMw//o7uOAPoeNIGfS7/cLQLE8hF9DmHaOc+aXLSmMKQZ3aO7InnQMeDXn1Y8u6ooBcie IVIMAecqml2zYfJCZ9fUb1fx22v4EIE/lR3Gv4P8rwmiwIFwsuiY8WTCw+QdannvgST038hEZNjI 0qYVMKlX+SLF3RPT8mlj6PtCV4j5M076slvAWyouXNaK3XtZZUigKv9hBh1Jlvq7YdVRO2WnTpwU PjfFGs/xBf8+wq+sL6Eh7l41vNUNTnjc9BDsg0SSBpjMks0ofHBUSgi9nD+bVMTOBUOSnL0D5duL ljKQ1p2L5m4KY70iSiwzBoZ7KdU9YRWdlF8KJPpE8gXSPIWvPRQTlWsKOg4DZy50OJ74SSfW8ChR 3KF2DDrebgPuA1GNR6XJpxGVd0FPLOj2TmNVhJQ+NJgHyKKwdITMV1sN2VGYUdF4ZkWgbWVjx1xG niE1w50SMJqV+PJqyvM+DMi69QBThC8hVLDljlKYPB/n7tW3gbcgmGvfaidoe/QhggkhFx31Zoha +VtqRnGe1AgWH0X1qVmE5hjSK6LdqbQ3Hl3XWNcd3ZuwHJtv8Bzzp5NWlYGT1Dab/LB5NQsqzn0t +3lLOT/HxAJUNWg/ETuEaGmt6Xp14hthI0LXaxmM78zNsHGM3gvfCKilOo4peIZBatRqsQGaRaIo ItFuH30t8DyIjpHfiJ1amZCZnZqtaLnw2EJTb4E2zgFT2uwifievgNOluB2dA2q3xlqqMsWDLDYV LJtmI9d1E6JQql/lheYXj1lE/DDbCpdlmemFguRgBkBOdQjYtVq0Q5+/76QT8/1o84p2194neh6u ZIrTiPd+tg5vr0ABTU9kXtqhEPyk6ip0P1LL3+huEJxQ1LJ9cUUHl5G5n10ERfNKhaO5ZpPZZsnG zwYMlSjtc9YG453s4uc+aPrp8og/bnk+798CgmeOVmj9kxIpg6i9PzGyBuRJvSPg+/KCKn+3CYcO Xe9Ghy/5R68mA2tNkJbuFGDC/TsXqA2lthq2wVkOUAspXCbhden49fgQfGNScc1vSPy3s4iENdcu q8s938Y2Z53NzeBBT1Gzk+SSr5XDaFCXlOXX25jNc5wSCkLGFp8R5VsUvjXcKRLgUx9tOnKErKAn ABq1FW1UL02yCZkROsM9SKBrcp8y8fKa7/XY4TRzCAInHv/YC4pm96I53ooaEYRfSBBRi4pLYRfr cu4e/iFPZfe/4vY97GKenlOOgp5YfWVYjja1wqM5PyzvCu1Tk+sJgu//VW9Dbl0ajpna5W2kT5hI XttEmeQf8ULbTG93vc5kMHwABDEnyLJobB/Jq6eo3ibIlWQlTQCqzNq47Rxckb7BPLOv0X7A+Ezx gzj8GKJq/qnoj0x/6dUbPewP4agM2tQ2QlQ4VV7XzGtJhGzJNhI6+3FQQi0R/BWninOHeLO1i8Uv mHrg33Kp5erZxx2Y8ghuwxkSOqVNHLURvrzJGZqqAkpMRIyFNZakr3P7Uuvleo7IHchJXEp8D2cg Deqm+QOgX1+AERQNvZBg1KpfD4EfU4TJsuVlsZEA3xsf0+zmVpD4pQswnWxvgB1/TYRX53Dy3Hvf EBLAxlkq2FYDBYRNkSCfBLqEcxSJ8q/NO80s/hrxyzUsbG1uLw0EFsXwA1MA5B3c06W5+v3N+6av p7iKo0hUrnEvQx++4iyGFyv900xqZD2XWL4jvWrZE7fgjGwpv4QSiKm+/FlX1UAExWEvAQbWR0lC YvXMl6o2TDpOL/z+uLbeYDDDgHfws0nAiJyV+IunwQKb/6yzaheUGyszDWq3PDbqW640ClVMCulA zDr7PykHYbWgXNJeTRAYZlqZFstGFcilVMhe90dWofMuNfRAfdqNFceYtAP3iHKJH45FKLvqu0t4 LjXdSBSYU4P3T8dhGiPhx4At7aIstadM2/eJjxe1FyG8wU41Ax/cxWSOFiD3cvG10+0Ktn2V+bCm S2IIPM55hiYkcG0eEmWwMfx7fAmQdyyzhQcnvKbl6DfEZf+2fCBo1bEmOG/7OPyfrkYC9ZQm2sH7 r4EGUEpLoXdZzYN/djg5N/Zj5R17pDXdcUFi/ieq+O+Anq+4z13A2ExUR3CGbqFF1tfk0sbglKz2 ehDrFQCXsGqGUZUMffn5HFXTavcN4XUpnmmwRafIMqdLiAnX8ePc4q8KinHJCWU1BFHshuXzyTiZ L2jVC2JEGB4bzkrCr1Ax5Rxiohc6L4/syVorUtNkiLr+7xF0Badp70+UqQky/nay9mPghlteh3Ja lxg2PnqPpWHKqN+U/dOu8/7loTWEyAw2othoH6a5Kjz3D1UbCdH/zmunrQ3DdbexglFYbv911mOw uVfMk7qw7ckoOQqQcatJskcypPdqq0XHUUJJc1ERFuRlzO7ceFhurP2W9OTnZJjijJy2gEqiAtwY EAdw3WrPrXDXghQLSOWhHgKdszQ88xZmv8nD++fdW10sIKeDPo0qfHsnm3Hl15uGLdoCcbrVfrOo LCQDfktu0E7dPtqMSgbCBFNR2X7Uawg2IgeR3J1bSDCjQwyUXjCDQ9+FNRjsTxengbZzTwmsIsmd hEzdjKCRVHaJghHsdJSrf9qka1zcsWzlZ2IyvkT27y4LSRBD5zos/7TlzeU1aJvhIoATle23K3lj Wk7TugyvDJyj3plZ0GQRuj1T+sctxo6Zbad+3f1bO6l4D7IoE9bbl0J7ALEO0JpBLH4fCAYUDkx3 Fpjwyu32I/Mz2Ml8AAOCnCIxUK3rBQ5p/tupZILqAL6Jp2BxoWDWIHohSDZluMCAUUIoYwasJmfX +nYmcLEL57Kry0rANqjRHrlqhi3YfZiVBxLszwisdujnct4fuuilYaOvYGAtnzh7Tala2zyaBtz9 Pz+40mEiwHs8ygi46LyNUncWV4wgXUleJd22KtOylSJbgm/d0bWzCTxlzN0Uvgw8XZW8xbbTTgdm p318Wpm3WNwRF/lnoEpbkqyINF0R0J0OwkaECy8+vmwqTFTN1p/H17bi+W0QMhiYp7wGGiXHXR6Q w63qSFG27ZoYPERjpz8eh8VnZRstqcoBhFTwIL8kfvEVPc+h65zBQTAAaBfeOmubjpy9zcNKm1AF 5KBt4tAMsqB2CBdkKd83VFYx2bfwAGuAQK3gJr7ImpuW7rYx9clm2M41ndhuJ+PcP4tvtRfnNUvG WftBNeKh51sbMJfZPcvWdKMX1mSQkrPvfFkbeNW638KPFffT3QBI5x6eo/ETlFUT8VRymR60zj3y Ro2e7rnsabxXYCvYL5FVxxbYxMisTm/TRYWK3fAZQiZ2biel020v69cL1cffDnP67hYtmj6ii4SE YDZDzVdzOrlD/F3d1Neyge3AEfvXmjgpqo5PbjI9aXKcjs0XYrZ9QYbRjqUphCv3B/zS/Z7fdrwc jXYqgceEGpC4e52dQXNl08yjSFlBL7tNXADOawvCCjinH7hZLbsPYfNRlOAGYWYpJBj3xyz2h6Kf a/6l9mn6OhlJJoqRwH74fVCpVwmn38J0qzIl4255grU+XxgwrvcR8H5LJMf7hDtVhyBEDaH4Dydg 8fxTWuQK1PAutKteYQZhfSIuX4R51GPS9brZzITdsKlNLUEmN+mB9pRVcwxhwQ1r1HcT+0TJ2tiP +uNObdt2k0+TP2hI1mlB+KKJYLoUTL8y7FokAP4GMhHhAkckKa7P+VIzZ3EcoGZUr2mqwIV6DZix DVRua5YZvWB/1ato5iyR+HgvLUCXuMT2a2So85Tkm/Cb9I+VZQOnU0dCF4oVIvEQ9UzjmS87ECF6 43vq7pBezcZP07OU+3fGNBWgZABes1HiUga3n0HgKrSe2XfL6x5J4bRojx4b24WFkVVkEJnXJb+J 3uW+83t0ZMcfhNRO9E7HzmCZs/CdOAxZBb1pDvFM1rBaW0ulfVdwsTb39lamXD8i+mOErzX0YZ17 ZUKH9Nhs7E6vn8QUvwlNkT8QOHvPJHQZqeyGlHWqfLuZUswQsqORcV34ROFWZsOZilBzVLQd6g3x W6Q6e1HLnPW/jMYeWnigsM2bnFFu69Xein1N/3rNvt52pgpoywTCv8DKVsr8ntgNIwcOdLEiVJ38 r+wBKkyxaU4BgTpljXf6PGYz7X8OUUy9dHkxtHkQNEY3rqgXLZcKBPXu3itNpBvd8uMFoVfmCE1T mwDwNtLIbHYMgwz8IDBMPds6a50/AQZXiKDUXecLFVveXS9lPGXWv0gWLE0rfXRRaOo7IxXZq1Ef 78vHlsO2A8V/YjOQhFo9oJdCUi2PYpAYCzaRsjzaC9jIwgyqnIMzB+zZNfWvU7vW/oGpzocDUvZ+ 7uT2W6UKKnv6WHcrh9tMEgCaobCmw/lhYmbt6xVlq8BVls5JIFONMbIO3c42iXl64BOEXAM+DNAJ wKTTv+bfgxBF3xhulnGPxt/BWTDXHkiOPPYt6/5aH4IYQ5jAkkfw2HIeaIXEQvH3TlHgWwQXSDm8 Hh1/uXQIn7vXpfzS1L+189W+EcwGbRIGguPYxalbzd1edK/crdr/4YekzPPk7Y2CXc5R6V7i/R+r VVaUaoglXXuFNbzZQnk77Zz2TSiRsZVueyPPB9MPSocqCASkmMIq0NHhjCm9hGMaAnvDIuORDnRG CrmP7/o2mSqOh369ORHY585o4zDxjAQPDQ4hzKgtndyhRH43TEPaDeW9ZyDtjcPPMVEYdumhkDMX NIvR1Q0Z3mu6v9FjqXUKShVAk0wNPeXpO8D/AhrEg2CycHdotPVsrN7ZhhkrR8SDSjwdWRs/PcUK kZWAG/XTQ8gWajaoTo1CVvj+r2quekSk6GIJnU76I53eMAnFpaokJ8pUSOkYLNyRobG5u9xHZNrg DOMoGFERysQZOeStgLyIkWRepD0iYAbBjp3uiYNIsFOgGP2rm6la/SEKX0XF9CdjCT1S3key37Se bARa5w4t8sR1oaA7vY/K5k7dpMdbWFOyjzjwnq1ZUEBStxyAYjUInD/wsACrZ3kHEjvHiVuGFt4t 7h6zHtdkl9C3hvKiuZPFxBpKURnVcSHiAUanz87sYor8B6PojJA9+VsEoBGFDOycjrpVGZN2NQjc mE0ZLfS3hWYRocA2Isb4//gg7tZOwsOtEHldh++RePE+RuyGhHrMDbG7clXVwEaFmG8XHebxzznF JQxKLqsGvyOI57ldwCLpHta5DexRuYK4lMSBSivh98obBsKO1IIc/95gxpHTH+2dMa6A7vZAGeSW wTThVjVOPhmXAFkSJuyj9q2Me7YAWV+73gUtL9Jc0maiuy8K0hH0rHHrnv2mKVzWHhmEpxh1DwZG zLob/9078TVywdJk6OxxhrZjnv53kHPjOXfRC5YIGA/Xdog5MEmtSaMqa8ZHinPO9Cmjzi4Fig2h 2oOIqVRtmRCzp6MSjoM0dzW0OTGQLlt7xLQm+Q0PY2nZRzhBtykTf8npdFhgoMerQpa8X3eVNVx4 qbkwe1oJsQ5YN42PaLJtOyPDLzwLel1I701JV7KtYvD2WAh3IlLFy+va5wJuiFmv/6R01u6rxo5f OVutIaOrreyIZNFvPYLYehNW4nbptCY5m8V/RlZelQ1y+/2k43kkNlKxyLUFSyzLEttzmXppKJkV Hrq8EUbtBcaQG9RoNqITD/Rak3TgNnUWnO/mHjK3eAcKWmwItQ4obF+KtcZUYGoV7nF+M9ckJKKP DQrLEBlg9QX8dK2opMOceiIH0Nq8ICjtL0nGtS/Uy1zE2Jv1r9sk10B54UQ8PXGBehymcKTAfILq 8roW95QwK5388CH6FulIy668mtr6qyqS3FqPwRJOamPAn2ea+ziPmExKDEtwcj94aIr085qWIcT7 6uGbfQ+eEe+KbXnIfJ0VuaMhDbSC4ZrNQjl4MZLq4OdbNaUYaxGK5RDLfmQPDgOkGzNLGig4paz2 xHKcb11sMiQaD9z/Bz/CWQAxauYsYXtMfx5YEtPozdvZDItUjivi5cUKZt9/06B7vv+mvinD6Y4F Y7/vcqAESa6VhmQ/IDEUbXE10+3iAKCqdiUS4g87k3JWMyxDIGNRU6XvnczT/GRgNqRysZcTYwpD owMAI1n3ifPzfXiTpl3VzxlNaZeIUwqfKg/ooBUGmrqmfcmAWFklgLNS+ONFNoWfGKPeCp38q6X1 JSM+/5daKycDED16T5CkXUgM3A7NXKVpsNSYnd5GUF3xbPNVYMFJFOGP2W9QWTo89/3Uk7wNSn5y OfI1+EL5Y807TWQxSmTO3qp+U74nPoJ1ur8Cy/xj8y8xQ7JjSYfZzQGnyL5BHaXcrBeKcWqDrlvS VM5Ox6cX+EhMac851sv8jXQxRminhOo5mCJSujXweFEkmzBofraiBpvk3hqXQRhIIXgedshk7vq2 gNwcmT9KB59U8lRPAH+rw7Jm81Uf7djnjNNz1bvGd1ZEHy0dOzAtYUn47l9Ynw0Fuo7Qwj/iljkt +6AgK2DedEXNnO6p0nF5ySxJ1N5YAHtuk45Z8+8e54GXiYa7Yc7jI02/MNfNnKmP+n8dRJjECcfi 7RQR12Lq+1I8HDtTVfJ+DoStYer0nCjMMJD+Rcf9BSu7A2Vw0sEXahHbwst3HeGJMBpntcaE7Qmw T2ohDGBjWPIIHUL4GYsuc1RpWjzCD+hLrTzJkwITPF812OuSteUS7QvlMuucmuwWWaMKz0q1GnCe Un01Cvt8cxa6XdWL2QCsOv1zr9TtyygvXQv7dgznYPpr+XmkWdEVmQViMq6rByMn+u07Wd200Ynh suYeJzJEn9Mcg0ES8uouyJzXZJ+TG2mXxpsPXlxkNbwowCT/LeZDhx/DQ+NPggwwC1bPGdbRYW1Q fgJSmoLuqTcMLJWDOzX0NDmSkDwb5DSN6p7FAg4zhfU9yOCFemY8x3A6W/8uirhfikYWLKEHEx4V uLL8sGfrg08pFtvMQ8UAlSgv0DJKY49c4hzSD0Mg7/PqgG/a3ov1biHaWiVh/PxK0RzDXkG/EaW5 kfd2Xmymp2p0yE7gLwibjzXJfTyuac3m6zqJIfJn/qeWOMsb5XYsTjodUWZs4781f1G5VggakD1C ryQ3ZHAL8mT4vpy45B7d4oDSVlX6b0NNl+FNK/XHP11xEa5GCs79tRDXLkkhs0TK/itB3rVNAy4f SpfvRSG+53VFFu8ZkEJKlW53WxLeDmicMBYJjFUdJ7GL6xHYMaOtgzty/68Fg/xYNsTFCuIzls6r NjyBs886XijCzIlrPZq06DVUyCh62aCqQwjTD9pwacdyIjlwFffnXdhuckoaOMxBqckuU5rqFuvY YTuz98Sjpltkg5vDYGGnryliWoV24n8akQqz/JILCgkiQeXSD7JW6YgrwXGWQX1LTm8bItP3lhgH SMfOGQRf2cxKCCqatZpByuCv4FVRpMKC8LP1zLCTOXryXjg6muZICseyLj0QgLqekxf5+X+NlLCD mDMRbgyf7vnX0uFkg+4gfQ6K2oqXvXhB3r9AHGK9WWYrHK02MrBq12mfmisnqX6kMOZPJyZCOqg3 9dGmr8y6s/dBWl4Qz2b47ujwwJbh+X8r7dh29WPZ9+q/+3HSUs3/tbQWE3fdy/nPVK120jSB4bMx bQEKY34dGUve886MyDcZeiFD0c0STj7KcWkvxo1dECxH2YAZXcJfRAgJwn0CO9m6QmHR59q4PHhX +5AEQ5HYmMJ0kxwuUVDF1rOZNHaP8t8/TV/rK7Sg0Hzvsb9QRrITmD3KO/9cxphjvdTQDYJCsMdb CwqazUaTQZFYVOVt5EX9s32sILtJjYzINT8WxCtWJ57TG5K//T9hE3qi+k7JnFwDHaF0CUyamIxx BzgMFBFIrgwWvurQWpnjZG4QhaMayQOzgQS1NYjUjUCHDdDIcDQfbKI/kYMAeCZgN/I2xDnk4C7A 6XY8PTtwea8+bma65uDjTB357SVblsfe8nrpnAI0Uh7nhM16k/rQJYopkpm5N7CONuAKtlXJKgwe ya593oyDK5KMJKWdiCmgyvaxy067b/z2P6UT8eieZsYkogxhZfn2CSI2ZpVya2EvQrZn/TS1vXxU oplADiqkbvJFXugFabbyvD6iK0VcT92yLWnN/KKTCY2JiK7Q3VBMhQ50/1SA5eL2BCUJztkV+kE+ yiF/Tum9dBzPJErfAejHDZK9KIfBs1pyh/R2wNJBfEv8JwyXY+/3M8aOGfeIhF68F5McCTMWHauC +EKIzH3BBZCMoIF3R/V5VOJqQlYTXE0BUtugZUj2S+f67LNBg/O0No5BL4jC7/gwQJdWJuYXkKxT PlJaMwstHKpm1IJN2KrSFFflx2Mu59HHSvc4RgueioLQKWSPV6UKNVoCzZ6LsKKSPIiNm1L+q912 mBoDE3mjihkRTclyOSGgCKpRBPn32stswLyqaoyI9zv4yDeL0dsqcleW4l5wYFPpHeJrsDvirOTX 3naxXQEEcPctdkL4GUXeAyj9ZXrmOMSKvlGqaU2fg5KrtaBcj+j/fHrBsIO7WM8wvITbaFpr+kGC AfxKUttuZhvEZDTdkjl7lbame0t88GsvA10Ljsqzpxgr3AybagnwZ4bfep/sIW9HBLRnsWooL6oZ JZXYF58mHvYt8DaBLxQnwRt7gwST0iSXSb1wj4Tt3dNsIbK0jiYEGevEsYeOD5IbPVB3Sa4CeLuh MLoAzRVy1Rb1F783MPlxpqWTzEx28OtWz3sIRJ3nG+S04X8/9fQiYNHavV5TwAdDnWqz9Fy1MRX5 taA2Vl6TxNXFGmNJZQD1CP6SmDvP1pf3Ijsd5a4qp3TnuIpmIZpzYcays9dP2A6KFmLvJE8yh4Jp HSDt6whz1HbA8JndzcKW3MK/rc9neMVgx+uTlgSHKUQvoySUgU9dxQBRr2SNRlL466TxbFlR9+zL jibx5gdKyJ4Vx3HhCroBGeqCMxNI5GHO+b1V2yDaxpXRldW2yBZqtMSMu3WmmjMSrcZfpQ4ev/eL EggNhrox5WYOx45MYS2q65zl4XKYubuanOnd9Bk3Kj+VPKClsM5thzQd63n2oCJN9EwKYBWResYf kDqn5PJI8vWPqJ4C7kVKiZ0Ovze6wxTS3ZUBMS2UFysCKYjF6UbmH+Tunvq4LiawWcqoN7uOQ6Zj BKMoOK7IF2paOir/wKUSpFtHZrGfv5dY4uDNUFpju4RSZJs5oELMNYkzhVfN+wvCwepnl05Ufv/z v40Z613f3/1xWge/miczM3pFcdhKd6WbSiVtB/SdfH/o+6uLRNbjK6durvkG+56HRWCvVizH64hY ovRRuPm2F7y4pfNQFd5zyosRpnsFaYfN4Ib5KyTMpmgi5Qgh9oLwqdJ8/HEEa/gXb9+jsSNMe3+w 30s7JOi0E5Qx+lL8JDVMai891QoVBBJOF1+E1M+npvVY21/2GeDBQ5ZK6+lEy9Fts44nHKWBrxV2 iAVzZ0GHog6fIfb6V6hXAJCx8jlPiQC/ZTx1t0KP6DnlEVGVyjaPDjUDYrq4I+SqgSyuR36Atgkq 6r6yucXtKlLXljGM1cUUlazyBfRXfM8iJ7UX84ZGswBAMdqGCjHNdeihsEOkJD+sg+bldDtWYpDb HMvFxqzEUbjiGzZOT4+4p4RtYOlx+5WhQIGnp4sJdxyX5KGKGno+5TkyDTW6cOBwe4HuRvs3T9Fs s4DdCVJ0xcEGWI9rO9ITAntWpmsesnOQ9vCUv2CFOZLo2udYZZkYudgUm81dqNaDPTu3fztNbLZh xMT271OmsNoPhWSQD7QZIJEpaaePisEYIOAjy9HUNECUzU7rtKyKbqxuFVecqG/83N2bQtCtbVvb KaEEOnK8c3R+FbgOzHAphqQ5rX2cj1/UnSpmlCMaBzEkQ4lAnu1wx9rCT4jZiKX92pPZaZR2kbcY l/3rVbjbc++pL+2rEQdL/D+ojGhnzojSXaTOX/6pNQuLU885Er8EgyFWSElnNk6xpE5bJEC7U2GD 09R+WVy8YQpCmIN1O7s4X3WS2o5hGZoZ9C7Upx4eLOrIkLOWyALZYPtbby8UlaKoVAdCMJxY5Xml AJpGU0Sb21jMJErK3m/NrVECy89cOPYdr9o45eEx6nULs3jMqmJK4eoprn8pgaUlbyWj5BSfyp6Y +yCTwtt6exfFBCKt+tlbg42uop1QixFuvzAw8rjzHpYPjq1uM1Nk+SCI6iVrWvEyfqLQk2tua2zc vVzNeCXjwCtm2wNiL3gJgxIkdD5cXTtK6jxeslrmppkFCffi8OvMJBY2LVtZlue95l0jf3HjTcmf JxJg2MJDsd/6ZfDVcLdgWMzxOjHMkmfHgO9BvpGOBukDE+nLyrHq+Pmz8tqk0EZ0l6uGtmLlUPHI 5R9HOxh1ghzip0+8mm4LAmOcn8yt8pItq4dXQ7r5cPNht2ab+8utIN9IbbUYvh77qb6bl4oCMYuj TEjHMwz6TGHFTln/Yzy6yWL7+vKLOZu51ikiudAus5WZdjXdjcdDb3M0+oNpSO5QCtriPFvrQcXN lzXiR/sPsHEHB1fI8r6At5GBEdWNBO30nYrwvG0fZroEg2hStolXP4aGLq3sIRxGISgBOr8J0lRa PH8ICUu10kgOhIPd63RHCEY8y1PyUZum2B8KyD3sphzxLmlTLo+yriLs1Ol/MWTYadeuDCuR7m8c QNl2Ts2KHlKo8nnxGsXco//Ksq7bayiv+x4G6Z+28eOtyVDdLjH4HE2PUXa48v13WemFuzzLJGSi FP+/elv3xJNK/ROsndA2WKDBIcwE1BCme7NpQQ3zMFyKhbLWnVbNeN5mqqwklhWZzke/SBUuNNR9 D/6vxNCP/65aoInrIN4zRQD6G62uH/pu+XL9wMksdxvAJkXPZprBsmbiGUQ0mFWU1Pxql/hxRcfw bsHsGquzaTWZYWTst0x0/fsf2MSHDOun1w/LisbRqpqCbB3BZVmy0TOP5NEZ+uR7Yt5bzLpIZp3F guhyBCB+gMGPcpEC5OsiM6XlK+PfkQ+TUBmZpd1sv/9ikOB1yr8w16z/bXRHttmBCyn7Quw8kmyn YRV0RNoFvyY0jlMmUCOctSk3jJx/CBv7+UPjbvalQnkggPtgnA5RNQBZPlm4k3nIgn7B0Jb1F6XB XpMJRodUpvZumTlj1rx8rFQ6T70MsNqq4TkkZtsnBD3C2THkHtl2Ui99xphbYm3ZsslhiB+D5PN8 FJJOxhiiwnmSu577NKB4F9pqNBtyg8IEJfIcmna6uX9mxaOCsBC957D+oDZYL2WmO9uV1UA9/z8C FjE8moDXgO/FOOE0z+hg0aMJMWOTn8x86sLcFB+0DqkwY6Ku5IPvTmm7j/E0vPve/iOV+wMK3bg2 b7vTwQkQpmUVLb7/WRA6gwI6bdUnrvG00CasNMF6aht0JUT6we3Ctn2Tgbr+nWOhGHESqmB1uZlh 6u0j8jM5JOV+mW0V+R2SqIsGM4URqvuymtXNJBlhVV04AgcRBGaYAAIrlnv8zDQB1M3xMLz1Y7N3 qxQyEVWZQKN7Z4miGPmPurw3JnwU7ZReLeRcj8XUXsxMbKUT94f6ZZgricbNAj/DFHq3I90IbVsm ebse19dvJz34Sc7rKQ4V6ZPQEckPRYaOFe+W+t/gdu8mhqWGNE857rrkykplZh9dTTJBJQ9D+C5S vhovWpCIRuoYEjKsq2Ev2bj3e+NJE6wHkJNJPUk0HD/a9bcZtWFhpLe9En2Vgu6cP/pMaQZw+Z0V 7ByWwsvNSJfd5mcijcWMV94VwGT13J6kF6or8jbaGC2vDWhmwviD5nzbh35BPT9NtUCK50Oqh6RD YxCJ0N2CCVgtlBWxveG03LYW6floJPI8uqPdh9X6wNw67NM63VCysDgqNIjkIMQoExcI5wXHM3+j WjFc9EAOrWgfekYBvoJq6zCdEWPdAebYo1h1d1tPRVzJVMOnnkMYcfMmaZiLH+pUuZwbgx1SAfdS CQCLVVuwDxSdPyFbk8QisUfqZI3C2mWsbshbvoXoMazYmgO9PNZ+46r6sgyibz2tSrqcq+DXWSCo OTz3RSacpPP/GZP4YYep8sE0y2P7VID4nz/AwZVfrQEUMReM3YnOFKKTEqIv5MLYXcVa3P+M9GbU wDjF7fDqgVn52IKxSd03KH9+mIQ29kGYPfWx8yQdcdjAHp+/Nu2YXhqFMQAnYOdJmv8J6d74J2GQ QbRgPoEHfL/zT0Jt/Ut1gydMJ5JIAEkwABIEXp9iQ8/5rb1L3xVPoM9s2/V2WcsJdXVYMPHMzjoC xuIPL9TTO2o+mu2ZtxJ2pJcgALj8Y5KjB/2Srjdr0x+GrtxQLPN6yaFID9tamGrcxA7s6CAD5Yrr fLCHhm8fBYg2nX78h73x7tIoKdMtwuZzO80mHIn2wRT816HqMGFQRsEsmV//olrbg10zxpwVUcxX t+mAvVp8znxWYvQ6YpL7b9GszHFu4irWs8EZe0amvS7/3XEABwqfR4zrKqRWnbb4qb6mx5WDVw6v k9lPztMBLs6IpexihZoru1rfn8ercuE4BKakK0Dv1xwjaKwGFmGlFRHdUKhoqbkK8kTDW1s0vql6 cUKsqhUZspTzoz38Mhy3it3g1+l8ADjy6AVunew5GXIAolzcdQ3BXxrok1s7mqvuNHwsoSoGBNSq OcB2p+lokNPRzgvTxFipL3HtJ/syysfXda9Wp9uRrtpooaK9x+WKGf+39iAN95phn2yzjVuunhAr Is9ZO6zqJLbDMF0h+p5W78mxRXjGnD/takV+0X62mR2WcXFpnQSl1lOnvTkrgv/t0QDmuXS1ErOK EQOIV/+oXlo9h3j5f1INJyT1TQamJvbLIFJKZsEbjw5Utn9YfQ0U67O7gMceuwi/1z9InEwo/pIP XGL9XcwA94oHg9sI8nlY2HHamhcEkDTJRPqYKiM6CixAo9ake7wnFKFAFQcUEORUzmN5qhKzUbm8 H12tj23W/AOkWEDvyKoWfQNNqL9LdWWfXNDsQ5JmLhEVdMLZXzc47Z4fBSMn85VZMq3g3kgsZVP4 BTAyz3Ze1G6PTuiGZ2KTdwb03aeyDLyVxa1tyINZDJ+A5D7wZE5KxRkNOIoorcBUX6OJH+H/rRG5 DJO9AZiIP94JhcnR6lNimt4eE9Lc5r9yLaBWGoNAdL6rz/Q8kYW1Qbm5ZSQT2e/iqkKG6zHIWwBx OD8LOnMeHayAI2ns0PNcAG5goelMZpJNls0Nv6TKoXIpixd09o212IxTYOlEnFQb6XhoxFzCehl7 m5/O1g13Vi9GQOkiVJWa3AWY/zTpoZcyJILfXNcgWhsoOsXZqcVH80uDZnqb0FW/0C7a1t7aV0Qx Fmg/KR5NQSqn5JnDQZug++ZCJVIU0eznp6Ux5YoVG3/8s+6YT+SXinGqLozsjyIX8FdUbTvB0ta9 1gfq3992jVRIS+4n4SfioIpW9x8mRi4h0GSAf6QQanqt57CJPxHiT68jq3KlidGDD3ReL84vx1xL JGqB28kJcZGCIbCZi2YtODsIRnvG39d3l4QajmmdNqOOTD6prEpqXgTdRA/wxIyk0UIveBn9IA16 S7e3176XNx59fOa9AvKyi+MbJtJ0X7KGlLDC2miDZWk9xq3uJ6XIqjPTGFH2xGQc9qVu68cJiQoQ oVTo/MOYB5W5ksCH2DjwvkAoajy7/TiJkXhderO48Nov3Vte2ForcQ31xFqMJwKIcyB6nEJj1HBb 31eZezB2s7Y2aupf6cT2L2qprzhSvSB3nhzAl8+3L1Yw+gpJ1rlC5oS9UZmYwh6XK9tqDpxceDVB o28cyvLt25XoDNrmgavk3G9Sys3I9enOdMHIauPhBqVWrd2ElwpXUm/RYjIWfUNzqYaboWjtOxGR e3KFs7zwhpCs2tI6Y/Z01PuKCOq5k0BZhFVnwa2830l7fs50mKluEDd8d82b4flSysfNZCk2QZUL 1ZCkSypgLAWC0HB7aiM0Yusk0xCv3jsmGtCZsAYRzUpcXjngf7PaysxXLu5dL4G3KZnNgD7LBW82 Rijc0AMDRSdanqpPuDKypxH3+m2WmN7ZPtLlXQsLlyIzJKYylg73063M4E+xs0I3ohfeuURAzvrJ n8vRsg5/NYTuACJvZ9iUdLRfoLji/CeSBcvXdJOECZF6f9qvWu8U/UzWqKTo6sNLvUfDDCgsqlYP yZNVzlSceJU2S0Eyn0MW0qju3PA8hWHDxuo6MLHtRaxm35CzoPqdIGapQSoZi8Fif88SQazUO8JA EeJDMT9FzFXEnmkiCIlUbYAOOIffmOGyXCqanF6H5Cthsas6+/6gH4DHLaWOlKYV3faE4pVL0WfE ovuPGR7xlSYOVePiIKoUmY9QER6XUddIUBiSu3yrKkLO8gN7qXuoQPk2Uzgn7o11wSJyyLztw0xS u0EAmPn+wNmzpK+RsM5wa026N0Xu+9hlbdePBbCuf01i60zkBTdL6wXUwAvInEKhfHhL8fLCeMVk BYWTV/9xIWzZ3+bIgO1eUShksaEjVKLFa0xYveDMGzV+S6MGyHlBKLozOaQnyr+YwNqBwfz2lPQv wANCd2yKslawRNQTV5m4Sgyl9M1nAYw9dr7kGerCM8vASwRmN0KLMM9LO7ERYv13vGXRDU9kH5tv B5BOAllM67394O40zmPYVX4DEzYQHGMiZIfR0lyyrfI2fD7j4oxI6DmXmsFSCqpqOOHyplOhNZva g9jWtJKC7+/qw4VSaBpJbxb/UPD4WdhbDEsHRbOg7JUFwFT9cKDZSKsAXbWWFYaxAPWC2+pcmGMy NedcyA94uX0RxyvkHjULCFmg+CccZTUpwogjxaTC2nnBsUhdrZVRqMYg1msFYqCp/jzv2cjG/l/9 3qKOp0LrrK2YXvzsfdDhavK7ownBIgtIXKtazhAzRJ4CGXPrjTXkC815AhVh3Reh6DoeGtFIfDru E4QwUhBtyjCn3MfC9dIS1tCmTUVI+V8L7FIEkXcJgishNtLKqUTOY2uCgwNaaQhK4ZOQtnZbcEEs jy5KglkxD2y6JpxPczXZtER4lzMlewvtLuyx3Gw+hmMQ4hitgNaykzFv5LP0f7Uc269ZeknEAG9F T4SfluuIbYeimXaTNcxFFXLH733PIpqEClFwPLq+hKP9b6wxtB2D3750s0dlp9Vp56KqWC7l2YvP Z0NkZv0LckBqOyw3GCDqfgxz8tzYKywbSTHxbyOYkBG7ufUeb60rywK7Jl8XE7BnFKlWAKVKGbqK DZJhp2UoD7j6cvlg+38frggXW0B0ocsoxtX165/tOnOC0L45Mr9BpN6zHFs9gstqq0TtCpCe+8NQ ABKRflivVWXMuU0KZRjuPXwy+9EBbuZzVCoT0MHD/wgR6SvbaSo9XvAWhzpELg4aMsNwTsDSl9nj AGg2D7kDwVgHn77X7pu2nlpC83GJ5ciRW6+lz65fHUqC0jb1/6i8fiebkaDWgGgUe9Qr9W4WUFxT kDl+uJISlURovVHX6TgqXTZw3LMfZYbjWP4FDqAvp4vI7Ai6duLdh2anneBnzm4eNlB9AypS0oeU 9PkemJ/u3igm2/5RurWaLa/bBj1w4TaCe8EP6nq5nbapj4S7B2LTYeV3Tl0e2UAvV+UBpb0eRATV m4yHhi7LbqnX3aKTRgUvpmFU8OHKRUbfXRh+t3h5rgxqIqpaOwcaGzGLJ/hE+8knkRFmtO5ATyvb ETmQMMrQwowGfvK57+Irv6tsp9RPWRY+oJsLIcOmG4hGl4UUYW/7cWEMYFPxX9X2I6Pd0leOVoN3 65qxdeiCXaQ4qWN/avod1Kk+32r5GVDHQyVah0sFYFjPQIJyIyKvIOkvcBJX/3b/sNziFFRPyG3v 7ZGmeRFUh0qZea85OQgCmlr7EzlwV6Y4ywcjJZxAYN9gAZVZ8mAfeHRLfQtXdR9yKCjkYyoOoIp1 Ayq6R55gKBeYIRzmXLi1ODHxw5OL8jsynwyv25tSbKI9sQXu6NjMIPF4LWSxi/JkJBj9AOAUPrs2 phbjJQ0dQSw2JMWlm4gjydoADLsydU9ZQNeMNvk/I0GxF/2o4zyt5k3x+ML2ah5YkyrbMCbYDHuf fPqz2ynFgTkylo+hjn6jogiPqyREVWqyAhA6zUDgMZGtNcVdxrkeKStekqOSd3Z57LfeqhC2TkLA K8s7T7pUh5lJO27uGj985C+4CprenABO7waFsFTbCyJKP+OitjaGgqeZyr4o741coYJoJluFcsGH 1FAF9pFnatpcxumXuAXZVB/QV8btbReavf2BWGXJ8IhUN0JbFOA2hlbiLXlK+ZCuH5XmSrO18EvX QwRtEChBDcF1Y39/XVWJod0BwmqUpIcERZMilSW3Njfy0d7ntG5zKRBM40dnvnW6h+xpT8EA5gWd 0mEOqU/474wKwowe8v67PnKwO6Fm9vzZV8jneVqNJNnYx2rd0yLrKq3/nbitnOiXR3fEuyylwK8S VU5vsQUbHr3u4dTHStvSnxqDU3fzfZMi1md1N61I/KqbEgQv8bbCRFSn9SIPPtDfcfH5hRC4uIVx HdNuvH9eRFJplGR6NE7SzMvx8UvlqxYr5XpAPkFG40h++RKpgZ5PE+ivrA+SlTDwi3PAerVmm/Ic GiqBH6Ejb1Vc2JvU2oc2fJ5xkNUyufIBUMNFMQ4MUIsZpLQCFsEQi+XlZhO9S3auH/svG0k0qqlt UgegBRIe8Zs/cDWD+OuX9MrRT2kePyw+ISKUgnMYuQMcsfoH6FKFsFZ0zkkkZshr4i5e2tzDR39H rO14QqDN8GW1J/dT8tto10cJzAivaXjAodubHthvLbp/rUKA+lLjGHvgwgQL+woxHxFWCm6Gi8z3 uEVAZTtmlnjY08XsdwrbO2FHeQf725TitlEd1PtxvDC3bfIVO3cWioyVDrzFwvrsMEdyD0ZTpBIZ OAH4//t0xtkqcmQAM5Bzoxcmq5UEQALP2DgmXTybOSGAtgGwRqzWleOxCwaDsXdN6jO695peMatJ 91T1eXY9toqJWtGhqbsdKFEh2ylHwaEokGfL0EETA07yzwyf2TRLX/csKjK72QkdELYP7rLUYKpJ F9wX1PrajIwx+4Gj91FsDcOgGWdLii8GSEmn51smjyLkyCWuqhVmdybmuiVPQtUusZZ+IutXID8e 3jeMR6QQ2cOVOWPPxljZlTIYIPrxpRUfuBtRSb7zrAXqq7dfUauQ2YUeaYZ3TZ5iUYdMXr1QPn+2 3qgXMpfLq0eEjx8/8cnYDrI07/8L2qNYvgbNGnQ1rxnYhX4KOMEaTXituBGAd88D3xmG8lobE8EK 0KAkdqF80DGD7gh2yLN+Aq5A/GuUuD1WBZjKhCufNZPuMopqn+h3GVGmVnVwHeMTi38cRMgl9PES IFlLqCzoNEyZt51bkKuCjnUrKhjg25IzeAiZwXsUmsew+6d7QSvBukgHxyIw+PpcNbbvE6s/p6rH MpN2OW9AMQ6wh+mtM6QT5cSC/GnXeg7XNv1lyIIiBRDJLXhzBXcpts6qQepdmNsPRo9zeQzplaY/ VWu0uGJSk+W2t0Kux5lCZbvQ0WPrmpiHRs/q7Ofyhruq/BdcXqTYGCPCMz2fegs99KdXANdMlWNZ 80ARjHBIXRFpY2ZLUXzSRMrrd+8ZupowLOylukqxOB+tHEp+Ul7RgVVPI0L+ceA3kSgFmol6rHSf NW8IvOCBcHW2s7xgLPQGcpI3U2VolVsfWVL8SMgaGbxcMY1gNISvN9667TLzZ7vR/ThcGWF2qIVM dWKv7pq184m35J8uB9bGCbrQX2S2GQsy0qatTS+uWaVJtl95kvlL1AIj+K8sojNrMRIOke+Hqc3F aYZa8y4ZpQAydd6ZJRpYUsn8MO+WrVAjzDnenrrOvCDgqK+coufxkRLkhx89NwEFfKy9anyF4x6o YsdTa6t4iuL9qLlmqGTp5cMf9phfXTFKduqgzpWaFpvFRX/AW5Yo+fMcFJQN2vjt1nJmWX2+BGZ6 YqMiCWn5S8dzWJiqmEtwLQlAXhmr8nGLsO79VgLRiAe2j3NZgnRXNPBjVoRAVpn2/YJcTgV1A6Co 10BrjYZCZJqFPlrMjsHqSL5xfIYGCIWKObQbnqKs6UKP0DeEB+dYhleOZ3JBXLIOi6H/uAfYJ4PT F8vGIYJt6CIMHVFmkv6uL9iq1ZHLAy2I0L/F+wTmOKiWilUjSYd4P8TYkVWY2nv8TYYIjkHDTnQy DzbAoNlcVpTAuhWxgpILLF1zWdl75y4NzD24BmAT8FnASftcGItgvFhoBB5Go6axaJJW5QajTeWF I42oGMsKMJ4soS76cUXob/B87ECv+SDAGsIilqR54wjCPvaGsBIaufLwKeH+RT81A2MWoXeS3pmR OUbaBV+PlJn+ItQu6yy2lihjnHeYVKYMU1ZLsFGJFhjUZcS1RHcw0VtxM8LST2mZMaFy8g2U42oy syQ9qk9d6eexZ71UbYriwFRTGZ0KvkIrBjvr1N5j1UdEfl24s84OnfU0Xmmd/RPS19yhQvsuIubS 2Zom8LkH0jNwOZ1FM2M3678unatUuRhxyTUYdavdK+oawLMu2ny9ha0HclueuLB+XE2mItK3E5oj 4hyrRX7nqA8cbC0RyKzeKANZ19vazTPuolOUGi2mQZfzg2zwTtPjX0kSRIyz4/VLmbXwCQJeqIF4 pK5FtX0Z/2Bnfij7lWUuhUZPAkhpggld39HKyByuKMWFi9Y5OckdiYXXId16KrCkDzb2QQ7A4baq Q8Xq+pbPvEsuRtEBR05fu2MB/uWw/7psv3uGvwh8SDPWpI8jREHtAEX7b5u23resQ7N9Wo4pC2dX hu5qzXE+BB/D7comOc0H+6MbPyp+ZQTR45f+wUgBvC7PJmD0va1UdaixDf9DUdMDo0rNmtK6kZf3 rQYLgKNUFlJnqNlhh+COOU+EiJZ57SK5QZNHsP7Y4n0rn18qVcM4KZtoIx1JNJzS7TzRZq63uf9C pQkdklkCqpkGlfg9wjw3EXkd4K3DKgUCsWNopLqKthSW7RXNekfhqErXrLQ4cfw5u1eZs4zFu5RV eiTuxhoGLUoRTT9NzcokmQCi9hcXZ1dGzY82qzyCGv1mZHk2ZdQciJfIcb+Wj4RgjkPnT5SIhkg6 IChwMqA0nwS5MBwQH+QczUqM8/HnBLvqJS0YB5ffH3nfnpXrM22D1O1f6k5NhrcqwSYARx+bmqY2 JoNrlrwbfijbgq9YtRxz2pdJ5owX5Bq4266+t4Nn+0iTcxU4EP9Z472Cn0Qc3USvxTNhxdzmIzUF JwInkSMSqnIn32KMPcP71fQ7B7HwfBjiFS4maf4pLSJNl+SlidXdTcP83sPg2zACIz/5msNWuW9Q F8zyNAYTh9CTwc0n0lZUTtnOcMcngHcKlabUSBNbzj2x4PXO09+kTyl+GVOfw3+UlYIeJWPHLesq J9tE0p/Rganxnn0dfI/1WiKXL2s+ufxSymky+YxmtkkUXcOzmdHratZrrrltzlL1DIgrHubtOVmX 1sxjb/OO+UsW2zxO7E319vOQ4DVbqxT8IFCl3WWdi26AGjPCG9N5E8ZgFbC3qa1gAirjNcVWiCMO 8scdE4lGAaZKKABFcvjChEn0qt7/UNTxVnL3asaRB3P78BTA4JhdN0buzuM8wlKE+xNc+BYsYouQ RG5dJC6jjoZD97LVTccvSSqv75RC0vV67hzrt/5LmDcm7UdMAfyu7Zcx4XE+rj7OEN2R+K13lHrG m6arNjob3zUYRO5BYdfjmklby+8RrpsntC91CWN82K1ADeNg81tmG7flSL7cJppn/8u52Nutvpye sKoICZCpqqpIcE/zbF4YrbNvWlBlxcl2kVU87K/xqGNJPRkB5rLa8MUTkLYX1lcinCPzRrSjiF9l Nu8ZBcRo7gHnbUGs/YlZ4cLvyuq2cBYQX+d0HYc2QyYNTJlsEqhw7eeN+e33V5LOq1MmdW0LwIDd XvbztHjimUbSFO8t8M1St0FtVMmdWlvjhxEi+1G9XkFk7AmlJbbtOXhXymU8Vr2XeRtaGbjngOXR 187RN74pT3TC34pPODrFNL42hNLVtGthLCe75xHIJFKAWKek09aSeuTc0NKssggWP9Wvus4PCFF5 cmT8QhenWsgPacHpARAUuwBZwrRHfl+MfejqFEMnYv91uB8tvBYveBrOZEFLxk0G2diuj0i6TA0o 6LQP5qD515tA4cih127dX8Z5B+jRBSXaSbow+jXB30UcrGeFinZuZ+VfP0iq2ygRmxC3xR8QJlz0 jT5vJIa9rH03c2YOlT+dQE80lwObSQEKHqnMqaj9dn0NZZPN5v6QnifImijab8qx/dHSAc+SPs/4 vrTNevxDQ1YVy5xTJEmHQArczAsB7PtdBvsgU00ekvL/mySA08n8UiWRnCgVTH2LZ+bBXDFp43O0 RWSVt6ugtzuOW08asUCrlzT4gZFlVSPe8j8l1gznSuxkejw7EsSWPpiPnBHO6IMyHgVyN/912caR ukgujXfb0VWvLhX6lJsYoB+wOMep5lg/QbahBxCkJHLqu6Y1v0kZLKkZf2vBQ7Gf5gYPaIexbXjh b++YJDJX/MQnqRoVRgrfku2PJC37PfOfDmMPtqcIHpLFasvdpl252WWKH5gHXTwWxXTC2ID2i9pe IZlDUF43creAHNNAwzYpvJArYytbnQdIjYCa7O3YPArsZOjxZnIuYR2v3DIWQav6R1PQ4p4c1ECP GU+7rUMEPeIBf5isM/N8Y2vI+nd4A+qPyO8EQXNwF8Y5+7grFP75I2PAAknM5a/RTTVfIFw7GxRQ EiMiNxvGVGyjAaTpVUQUUN3ca17lRPH/2QQ6jfIsKVMFU04aX4VjSQqMEbup8MIS3GhGSWsigucy CGTSE864TElMliH1MJ1836W5so/Kf1GKSyT1Py+FXL1GstUN5DSXKyWcEOcSgDUOfyWbO0CLVu9f N8PnsnsjHCsmG9qZs2n5vlROCEOy081m9Ah8cdVRMrV120C4KC6aebTzlproeRyar7NwJPNWyvk0 YBSZ7Sc5hjtmDxAlYfidfoxsp9AMzFTN3Pg7SOFNAM5XFV5/LrQXempkzM00frz2hnR9sOywJ27q 6oY95WuWSEvjcdMNxn1BZnm6qm9pYJ9GIbSUFddxoQ/2ADPGKgOtd7jnPml9Cb1I951tAuFSgWFR LXByyi6jPrdmth91bgmuOmkgCVcFM+FpqeCOyIcf4wEWwjvLuXxNF0/crkDuH7LJcANhToL4TJug fG2dEcJe4qY7L60ibq8tIcSfxntuLBxb49WJHwLBADQat/AxMt/8mIHD197oBzXRgcr/0tUGTReq EqF7OLckUT6rJD/wY9Q1cbf2JydmLS1R2idrQntYaDmaEH69auPyPTMF0HGfgSaj7bPYbzf6RQQO 4gXLMI01vldhjvv0EGU+nI4okkUpU4yniJbuAnaZIZCDPs+cmuJrHDp935Va20tK1ekx413664QA 8j4JIO5GfUqYd+/13YyfWRXz2R5A7cH2C8jTtDpbZRkH+hP6QLP/C4OdBEjHPDmMLlD69Br6R3oV 68q8XzdyGJ9nAXtjStLbLA6DN2njjnGKt0k6V9Gn5d8PeVd40kuHgHHumhrlhyOVJCe9uuBSS/eX ULh6QPA8xxfp9GEySYs3zGZ5oQ/3+R0WP67MBxzWIJFDMhe9DGYMc0twXgAajk2bS68ehahAT5nS w9CROXNRgPBtKV7z9hZ+a1Du7/3WBRL4LjFtdBE3dvw+lfBalZQzah0wGfhdC8LPAZJQ+JvFBmTK 0Ev3F8yVg7BKjBIP2B7CC8Vx7hfdfBOTdO/NxCs4exVePIAuGF4pvAAjNSQLeY7vFi2qH+U2/bLH pyODTARejQJKr9sEnQPUOraeJ2aq+TmP50VsWjdxoH/XFNGq5kHjsvFlPDX10LrY12CzwISdAOyL wWn26gVlvmsFw9xJR5YFHHTcqPlGQpENW9ZvP4u9cgdrlz6UW4ZGFYHKLgwllmmoteGCfCHtuz29 TLMkl4QXGFplOtTZJBnWjNLGF9APu1fz+aOpsS8S2l8+lyaNpMy/H3ybCxpPkEVS3fzC1qwuPjDo LLm09hSRU5PN9s+6MwgQ3033Dy/YLunG7o81HR3UkqFwDvrsdB55iYk+SWVzS1B+H7vymPf7IiZr mc3R39u2AGa01NNNlCLGpulLTs1WFyXWrnoxg/AJ4J1XY69yhxxQaSk7dUbyLtEWvSR250dpHga6 hMw/JA0Zb9EyZb2SZ58HFostAEVPtMl0g8RNPGBt9eqoKn9jkUW46yXdMEN7Q3UZTUmFYb7+i0gD pyOugJeOXKu0wkGb5IIGhlKZK6CF4dp29QHHjFunZzoR4ZWaqtHxbMvSqZwl4oUPfXcxR0zcvifQ ZaInTGz9vPSIrm2WRHnmzUxyr9UC9ofIYLsgfomlRQRpT8VKqBE3WkGd+DL1SoHK5FNueIc3/JEL /I76Z06IT5PBnxDRdkeAqyaKPk40nVeOjEmMn9OqMIbOD7t3ydiLxD+tgpfNr3h1nK+Wv4jJuVtw U8lJh1Nv2zsAeLWx47M2OaPy30aEOYBTfcDP8+zaBxPdtPwbOCqj/MLUr4ynFkiFumGsANtUpme4 To5u2DGPHzPlNQUww9/UG+T4bh5KOINu9FyInKxAo5CKMuG8My2wEVEpTOF2dNWi1AQkz/vYUGSs qAlRqjEJPn8xyZeoyTII/Ggr7r//P9yl09kHka0f+xJDa3hDEe26qhxNyZIGkec2hJv18sehJz3Z tjBmIj0gOzwfjlE7mWsBFwWhYuZv4Ht5oL/me8JCvKx0ojpIjSaEsibn8L1e4pmuQC/CbKsqcfOe ri3TYhGlJXKOP85bo/hsFKTPj1M3pK13y3fgZC6noXZAW1eIM9+g5QOVBTzlvieP332p43X9zGsR 4Kf1ztlyIccQeSl/mPSBN/MeKPpyf7pfv4uZlbsW137qgA6Ycw04UN8UdkT3uHdqCvTCEwANlcwR +uFui5dZfnt+zDoxWJwLDz/ocQws6/VbRJhuCYAVhzBgeT3GQtNacqV9mYEIHCmFHRLyJLCbdSUU CPcoq4A0dgIxl+mAou3BovKA2ni5u2lBN2PWDVABfHq2UGEuF1qaXd1KU3UFkx6i19a9X1kbJ7tM VXrn6AwCFpTzr4ILhfhNUFeUS051SV9iWONHS1QjkkUwp5PhTMdbq0So6faFFR/1yJNrncpwte3z y6h6SQ2oEtvK+QgiJQTaafBkr27i9xWoYGs0v22IplSAzE3uhIZFjfbllXUpdIuxnnVJD1f+176T 2IBIe0USwneZFX6oVtlsn3UJlYn76ca2ULFKW+sQGFWg8ijZY/FyKHOwKpRLmtibY+/qeLby4ZTk 8maj1aR0yV7AFXbwk2D1OzwVL7mMPbz7tOa4e8BROoGCJmAsgkyvsfTycj8Uw/8YyLptP+lGmA+o X7Qt9CQEgvfdOrUawlr6ekyAM+i0k1dmRiuVNLLQJFGThxkcwrbud9PkXrFOa5v4CUOU8EMDuB4B 40oqROIIKmsb02s3SbGHXbNwh9s4Ld2MDL8HN3bvYm/mPrxHXlFhiZnGXKuihXUcjsFfpYkIu3vb Wqg5nrkLiQ0+qFO8F9bLKNqdeulNyEOy+0NVzXIoVMrRHQjZdAxHYxPGqpaaMgkBckFPPORJH+cN 2wvjM1kQ6RD3gG9LSroAvleZb2yDRKufb3lEGUC0KS0xLOVE4h7Tdhui8wGQtyAnZcWaFEfObQ8u Ta5xruEezBPZUmu25/jAHogdOTXZ1QYj01EpreJ5LpnXCf37UIiKvDsTcgzoowT2LDpd+88RhwnB 8AaXZbDWSju7dtYk+GTvqD6Zx597UmMN14zJXUWf2b1+5TT1VKQqmcWORypLLmNsxqlRzzRuWWRw EO7iGBWkUZTmBAzjsUgZW7ws/Q9pj7Oxq7QdL0GXTACQ7o2PWoVRAecUqBsCbh1kvjXEJOoTYOwS w4wYot4ds/DoPCCTzwLtS/s7uPLMH70R8TdlfIJiNh3hGXp8LXvXvi1WS7VAcirfFT2SGJkW5ogD DM1/vEQeQDg0n4eIfdCycVhxbj0u8ijZlPwkVoIIFbNIQLIUaRcqYxGl478An7HqTuWDUT7wHc87 j3t/VEOfuu5bMVvJO45jym1id2JZCcr0f+IGOouxs8l5d1ih1KiMvBH3L4JvXE5vfesGO7eQRFeu Kt0V8IIHAwpXTGmu/8fBEd90XjAuGwxo542DGZNSq6VUPBodi42+ZcOwZF/k9KsoQgzIuJZHkKM6 +cfqXsJD4UC8Zj5rnKQ4Ss4KNi1AviuyEPyk45ld1VF34OPSnYs+zKh+th6KpOLlbVb8B0U4k9mp VKh1k695ctm3AM5gbQ9DLes05konzJ1598iAcvq6x17f34nhzlTY1/En/iNLGfbBLfzQLgwcgygz BcB+40gDeKsNf1AtaO3IW3U+R8FHvzpcz07wWBC/DPhBilnuGx3FSS97mTLwNiPsttRkBDH/FfBt wzyuf24zAJzmgdOgQHJYN4mrzu0qYhcc7VFxImkLS0J2yxTqaUV+sHPTJ9X9mAlAGtF6017FUnyF RPM+ECL0DLPGKuv819qSDukmFrfrIWNm2yUjR5msYzPWD22BYYNPhcok5UEbm6jrGuLC4owxKFLP wqKTYlrp9TSYrbxcxehiUeN9wpTHD7pwScz830O6dMq6wG0IKyV74eFJB5uMibMlwFvsK7h01H2y Mvb9bO+qampGPUof0x+FMrv7pi4K3MiE57ZO0BDvB89/sXNdYW7RGLwDT1CIHS2erUrOvN7Nd09b nEybIIeVEiJ/gpMiR1RfftOhAy0DhQQXmbRoGAvQ8lr+5tcAl2M6XfqlSbrN60fqddoW1tyUfSZB lSbdT4jcAbEtm2v6BjuYB/fpml/4SIeybmaL1+9VFQSoUlFGX4BweVxmqen6R1YfDmcigb9c52l1 AJeoSkA65U1A4P/cyBJykVDFyslAPl8S/GKk+8FYWVC7gI6FchjDF3yMVNWcWqeloWdJG6FqCLYA Z8ddoJEc+67KGQyDeMaEktqhxzH/zTr+h0Vp9e1B+QQgffkTbC4ZTDEqaFX+s2Cs/0Qy5hhkoDpq SwVqviX9yRPbrLzDXlPum3Fo+QmFfgzcEvNqzcPYqky+RWGdnx4NTumVUCd0nZ7p36Osou0WnBTE Lvwk1SCGt7rhlH+5zyTPduczIiUHWNONPXuQx/yimYWrNsBiwIu4XVksvEJ1J5CyDbMbJjfwB3Ji Adrgw8IpJ0fNHKh0nnM4hEZz6KXmeJK2aVmoox3RMGfA7nvb/keiOqLgmmqzIP2r0LI1GCZW64s1 bnxJ9EWELJOA7AoL9zXQpuMu2KHM+1IQALc1/CNk6OWjrK+nzXZWp1em7yFv/ToNmI1jgGlZH3cB olUmeNl6mv1Lf2HS9po8uE9sfV7h10yZLsNbkV/4pG4YiElMxuZb/ya9ELiRgqMZdZdRZ10O1J+I lHHhU2X5WOMnSgoHfoZTT8sItR4d88mEnQ3/1d4VGJF3hfPH/yt22m71G1JmgrjIDOJFrZVkjAAP E530yhGLrNKz0u401PJqM19krt+KdwLjEp5zBXr4q2w51ee/0PoiGoXVw5Twl/AEPPdcVqzFg/6U aDMr8aU8m1bChFi7v8Lwu5wh+AzDSfGQx6wJHyMuV3i7JyaAe7ymWQesK3/Sxji2Nn10TLTUcFj2 fEM3a7fxIwzEASWVg3GktTmU83hQttg0K64rE8IrwpREP90J1C44rXGmP5A7FW2bUOdOHkmuJTp2 eRRuf/xZvx25o1KBWbZPvy3Gq9OL1oJ+9ggWtwB0QSxF9RVjGmd1nTQc1oAbieCJjnh9RXZfnCNm DaRqewcwMmL5QhxetbkR/KdXJjNlts5IhssfUAz0xbsJ74iFptTBYo+LyvvlsZawNAVwS4OVFZCA HmC6+JrxsPUsHcQfFC4znXTAkFDZyHyS3o9jkrMOZiZchPdYcWP4wI7c73M1wuvEJgLJC70Z8pft Or6H02aJeFlU9Lnxs9UMCF8dRl2jgNrG09c1DHU8ipZjHKjHena+2PfMNWVgh0nXltM/1hQmjTwK 7gfRPoqRiLfISWsujyCAHYxW4PbND6SOzNMfMP/FVE7kW2AMJ536Ii69lAoLWainsbic7wWhdI92 wouYeUqNmFamlNzmwkWLYE/zKJDQ8jKLNn6nQFnX4KJ7n6VwBNxnkd2096Y6PRFxhDp9lI2YyS4L RzY1hDWEzfzuHCeaPWnHyfdTRfDGzFmyD1Mq+1wJnCcmWpSt5bhbRc1XV7eM9TFvYQSRSf4gaihj Y/qo46GLs0BBWxl3Ie6iLdvgPaMAGYEcJp0kZjjOpPZKevLOZaZkL2eTUdWT4FTbop6Rbivp58IS 05o0hrM1bpeKkD5lWHzTRarg0xxZfywAaEHKB+IaYfgPbE7j+ukcJ2ouQKaN3oqyngQZwRwo/Oje Fgacv9a0eWXf0D34jdxedy5pdDT6E6PMuixThxACR7d85+VCUFPkN2KGR5puRd50uf5PGZc5XTp/ IuS01vlP8UUfpYMOma+wOcrY/89qmgafONDAdtYQ7Qb4Lbdy3MD9Wc7eYZuqrdnvBiIjZ47UpCya x+7Fs6cHEIvI3zcUG0P2CibrgWAyhB/jW1xHhviY41mCgP+Vz5D0GgIK97Fp1D0AzQuVuznZdbvy tnMWD3+uXTp7vj78qIXdDZpV3xfr8Uj2EqNli8ZLthx+dvZ4YMOxu1BtX9Mkan9yQiAomUQgK1PO /hniDwIRqHIVp40ZNioUg05xKVB1B8edZBHDqrcRY+3GEH+0ys2XLRCbLFhUQEpEKLrY93WpFnGH 5PDyVbXuE2CNIj3MzEywVzzyIdNRfnDZSQeydTIZLOQaXem/ybvQx/9CofMABMIy/3Ki8fflg65+ A6bmila1doOJuoVBmvYvKPKD+mxTj0QOn5pOZXV40W+AlCnmpRchIYTws94A6RZWmRZGb37Jivx7 frU8rwV3u+d32H7/TdUKYf/TVJcnAV1pnTvg4B7qyGI4knmYfWHdFN8X1i6ZHc1+mGKvzEoiznA9 5tqlGk6nIsvSNMbKHbRWNYxrWovT97OR4vwjZ6E82/m3EtmS2I3S+PKxBN05T6opNVv3SqQT1Cb2 pNGbASxAJIGPB3TRTgrq/IXasjCIvgJIdIgIvddZIkpoa9haHvU0nKUy/5zjecY+Ao+JoHLOuhfb oWWTUQFpTPSrtX2jjm4PksHHFCvE4deDLUYm84jFJCJdKnmPnuhQpnLLNpDjEcr/cm4Kb9t2gL5t mAQY3/gC/riRoHFJgFjpCMclNLkHgLMF/JIgvlsg6sz7u4FqQvfMQ1BldE6ZVlhBqNypw3ai8obT 3XNRTGVz2GHbd5UI+3w0LOlnFJu5QwnT16eMF7gg5AcTlbjWe2QHOamhUhlEleQYfSSQW1XGlDX9 C5cyqPtzcXyxqSc/LaN0bmN5mKAw5e6Svc5chsHyY7g2R+jaF3Cx/ZH2LiMDmqeMXYtnQsFI5Db9 ecJJPfHc88DHQYtnQFXV7v9i3YslmqJ4PRK+hNxqHAFR2hIzYdOY4DBHrkrHdvA0/8JXijUIcL5y nhcHLEZeM3uwj/8Tf3Xs/uZSl6E0GmXvriQxFn/W0bC8KcsmJPyznP2iH39b6RNoR8TgeCXybN80 KUqaWxqSbeSVc8QbDiHwoaBK4MIKK0Xu9vRDR7KhnwFBr5u1U2K92oD/PPQVYMPkcrmNHx9KSR0T 7ky+NgQCQ6s0dtTotqMT/E+7hdaqPPPdsrF2Vt2Vz3yKq3VmQCdPlxdePLOYUiySs7Viyot5JZYf IjMmIt1S4gMXUY8pk36Njfvh6MKru7cocjY1qGZfiv6hGRylro8H6Ru3A/y5hzx9UmHBnOD+LwIc 3b1Ar1K0BT4GjhT1WcYb5iF8JDRNuMs5hD2BUBjFM+kBsV4pctGbcf6srnazhV7a3PcZjU4wf0qH DFUk5UVMHgxZ20VRByq7s/+jA1TEjhkcRs3zTLc4VBBkrUe2PeOeRK8A9xcDaDQGdWI2CJVWUtUe s+Se6g9jYlmpoLVjTwPeyL1yAyj/z1I71saT/gndNUVYnr3uZeMDbVTiY5l4PxMu2s5ZrRICPmWq sv3JPVcfhLoCsCvGvW825jAO4ANLMswG+Eo17l0yARs2czIbUQCG/YmR1+Q1eDWGjW1Y+6JQQydb 87R9wyAD3iVgSL8Xusp9DlFuXcRg700cYSLG8QoWxLTp3Nut73dEMfFz9vfkNh4gB3omcaAahXLq j0csbGCf5/DduRj0Lw3fTSsIaSygbRuYOSmWVsP8+gEDVUyjW8N6uCEdQunK6S8DdMuid1A7YFyu ZEYT1GXMfQvVHxjqYp2uuG33NTYwBHqR5ICRCu2lpGr3a8WqKOIZisBKOQKuXZHeiq0Y+YIVZvng IkMZBjtnZjCZ4v4QG02LklWM1Gb86CNpXm1CQ61jhsNzR2dNNBDEFOA1wrNB2RYJKYR+IW1vBE4j r/aTPBtWvbd3FIz9vqT0eqYr0YQDjqRrgFIZ9DyLwqWlooaNs+DCEKLLjT95AQEMM+bNQbvQEVb3 /s+NR2r8PgNTGhdpylk9AJ+oxX1ebW10EtpvFN0mxkamcGuV+trYm/lvtkzB3XyLSdVqNujuU0wC LOcDKhOSrEtO3pk7IZ+YFznml3kRovTZkt4m8/SZFwWKlB1f4+UN9at0TXR6rK5IwE30KmOQLLh/ ExtItt+mH9F5Hq8FI1cB07+/M2ey79QdKjWtgQvThirELGzv/YRX5khpf5ksPp5/iHKe4Xu/sCUF IGA+kFatH1gEGcRf+G6Ghv4TDS5FI5+KdgYT5gf5xX+OuZbZBGOfG3BfL3a/Qoj4Ut3mQ7AVlOwd 5Bk4tjN6jziYGz0NzrJ9XH/Fc7sdIvetciLV4DzJJC+wJWobr20G6t/4RpxWS0d6lDUxjmZxK7Sg L3CZT5uet2b9EkKXRJp49yzYOsXIZ2Gkwyk6BCKxgfYU3TlW2gDCc1cqfPMRAEVUzQQpwy9zIx1/ 9K1IbRQn2b50aH0nebYilcap8bgDxUdFy2r5nFnDw2zLol4WB6ioXHaawCAAGUs0ChWhV6UrJigh JTbZkv3BJI+UdqI++4iP/dmgzDci7nWTG54bQouO/ZdhkwdLHqoEaeydQaWycfTWVHMR5nofzKfi 1vcOxwDfU7pAwhcgiDMUYlQgb07Oxdp+kaCXlLylBpeWNmH0xTUKfcuRXH0mUZahanuTdoeSEQmJ SLxMCaehhtXUbxzhmsaGeOb5pL6PyJRUAZOxkYnRCwFuOHDZVNOogXVIfLNcu6MQ8C5tzfiZz9eJ GOxkIzqMYjK6uRI5sIiLS+v8qWw0qSLLKGLhIKytALyMgIMKdi1v4pjrPv12R3bhteN10C4y1ASO tKjWvflO8nfZ6y7iGPCLD2vnQlUGLoyWDhdGGGs332vJeBkhh0STT/tEgVQD72QwFlRvy0eP1uUD fmJmjrRLFgmCtaH3JGwaK1F8RcYCArQYKEoeiUSC5hrTm298kPICF6DrlyUfYb2eHQ4n3SY1YG2g 4BlX0YHVdLNozSVJrHzbuwsYr1tiRzA4ozgXuMYql6m7CXJYQ6+664ogz+J6Ki6oKvvq6stAwqub 9bIhv34hwsnPqSeHhyewsteaGxYZ2EWlNG6zwO/eQP677yGHpMCrQ9a1kkc37jTteYV4hE5P0LX6 /El3PFYRS+9C8OPiZNQ/HQmXDO7PWzRENOxGhkCpp0VzA9xuG49pWlkxCTf9OJAyCjazS0szDjg/ XqDMpmfSHKYMDoj6KABTbM+AW9YVwQovkPyejhlhwfsTFNfeqYakLMDsQLUWi/1uNmXNH5KSA7gx EqP66SL5V1IH0BTCwOcfpUvkv2iSezu/NHYSPLVdjNYXNwNZBLRkd4ZAkBXMmb+cPVp5HgEN3oY6 sYwj7wqzYLC7AZyy8PdTb1qNrsNnR0AaLxTlxCDicPDF5JgvvcEQ1WnyZsniKPnCsEZddeEwqlnS 2jLhlq5uhftcttFOGqr7WVYb09xHU8NkeWW7Eyoa25u1lurPwjYV6rIsffZDxvLxvp5rBqKlPt6m uAHw2rEUWLfbTnwkxlug1tX90+VrU8aJeEJDy1sWt/41G4Zv6nnU/vSElNfj3reAaQZ88MQMnCwQ Ya9YU9UOzWhIFgPYBR3r3KtH1XmUVB8znsPZQBY08f3IcomferLVrHnUAEOPH3zrHtPGjpbvTAQs tdN6rwcBT4EJaidUHvzeP/5vHVatUK3muWq9GR9t/1HKwc/siIsFZEypHf9fkwsK7SvOCEqNfPUL k/fPWI55cR1LnZflyNo9WlzsvdX4CHWC6BZlQLzkgoM3cJg40uZmmPhiC5/t1RHvIfZxNuST9yYM fVHMIXX2iv4AHaY73dyciIlMziigYcwH0dllRMFWUe2W3Hz2CjpLJDRV9kccFL7p0CfuPH6AiCCa y6cP/Qmpzlc6v8gpEWEaWjkPpcVduzCPhH1rx9Xp084XRfpubi+o0EfbSrLlINuWqa0kKUG5wlD6 Bb2Z1E2D5e5dh8TCjVgu2XbtAOa3wfF4phOO/ox2LHAHL4S7/G+FGes/lxd9SlZI0YR6zWpnsjrv WApAsbcQ4KZlqiTxihH83TTIoOCXsJUMvAZQnAu7jL8NH6L9hmpfaaK7QLLlkn+v3xNBorWPqGfO nJQvn7OX3aeUJPeE6ngycGCCsSSMynq9bW0llV/s04+s9+y1bR201WQ0C5QegjPBb921eYuRTuaV vDQLRibroAZ2DpFbU7du6pTcyzzMPzVAGKUc3Qwr1e8VAcXYjlRmnYFFrCeIgX5wLfMomjAhh3yH 0G+txiNhfHSPKTFiQBL65MiH2Rp8AYUMQLiEHKnG2boshzLxoBmsgqTcH00phlUP/14mbQUqWJro yHBWjtK49URp5XcuS0+9FBas3OV+gmo0lgfqs2kx7TJV1oGCU6I+HJ7nTriFbGi4MHUHGbi3erVY mmrhnOjoZr7/nAzJ2+1s0OjQo+J0mcSUU7amJ0mOSqK+IwjxfmLxIccTTM75moYlftxgUCAIko+V h7WIl30NQsDTz6t30hg0cG2ADt7AJtqauIA+2sMPqjrNnQhQjolviXKV873EaNaX5YDh38r/uleG L+yBd1GwcIniQqa7GISYj+wL1dOgEMuBHi13pcez67uHe/lFqbMZjNTQP/eaREXZmmJ8dE6K/EtD R98z7tbdTNl/MN/8Mi4o3E41GZp9XfQ9RRzVc+QFIOVJqcOIb1nqdtwpZuAEFYfG6AXjcxNUJkee 6qJ2jyX8ZFy+CCOaWHKHXubz2foZjo2hqrMclvHvgvZ0iT5y/pjVEQqAUKFbRnGPXe4HJXGMaeAF dc1YtXSx9bOdV5r+UCvMfhY9EQxzdmpa72dggesu46YjBalPBoD79ddiWvCsKhu1XIfHLBhuPz10 csXyHQ4jGxh6i847BoBy1+Q0343VQKmoeyfLR6D7aTuON5SWQ5h6BrjmJx51SK67JHeDdHX4O4Yp DCj44KuUoSF2LgkNs//LYGQV/+QXUm1mM8sbQM1yLh0UoLjt67dpZyTDC0Ls70ezbj6BF2c2cAnD 2tMC1E/FbEm19ikuBAMHVNYtyyLa615HAKNNUftxD29QQLV16IQrtWwTt2QRkb3DhDSaCo7zXPs5 yGJ3u/3BUg6cEbaeShAl6Pg0RnYMvLBE6in92wZhBG0JbuTC688oqD4NC7oey8Q5FcFtNXJvNVX8 DOa1EJL5cBDuKWMoX4RtiObOaJVneXi0elbeMsU1oBX9ZF1GdlHLrVYAFO9xZbO/k8K77k587m32 Ekg0DAS965WTkGUY41W5zPvw55pzLbQfSo9E29gdVzH4CRRNCmFNU5xNIJlxa7u/31R28yp7Dy0z HpiyeuZ48AlGgWZSFZghkf2tohrTzfqxP488wCzvqKXwEjOkQCCCfbRyLZGliox9ZGaPQ2nfEoel 8z0xqhm0oaOF5LSfUeHy4QTIIMx0gwmWYrg9I2MJ2MGVvXo9mb9fTIUwuxoW1MdxZEegmy35J5yD zSEV+Ex7aGs3NPP74/tesKL/jxo9ZfCa+GrN1w+wAA2jOQ6kkpIA1fl1JOIWnFaK70WKl8nBMRbI 2LfV+hCiZpIcgCugPDkuyFfojaBu+wmRhjmMSo5W5CtZ7WRAZ7olNjr3rYsjUTWfnNqbmfpztw0M C9TLDhLET62BCxU2SdggW1siIAPW+nkpHnZWYHzBcdkov6UGdOUDAk+vSrRLlgwDsgFFNdkzaD7U EJb9TRXBj/IWNffPZQEUfwr8ZfgrsXvTyZv1RJtP8nOtKn5Rb9VK2/3QTJftP+KIBfKsl5gR7QVs vKKqObPUk8wNRawTFBDfGyplXldchHSshZE6RHgVn4+DTju6qBx7btJAhhqGP/in0VgpMjHTqRVP mKNjbdszSLjvoYcgid+sJAWwh5gG495iLtz1DB6aqTE3EWVAkAUeng2IxUPBSHeGs9ETpFJk8299 ptGhsXqSCex3YYbXRb7SFsySC/jgUuiQFlwbTCoBIpJe538uN722Kam0DK2Nc6F0sADOe2eZ5WTJ QQHkXl/8E0bFzL8lOCS0iQntiDfmJTWp2QujK2hadY4I4wcsi+Q7XjNF+SRgYsiU1hcOMmzkkQ47 sX5njWNOqjfE0fs+HUInuEtne4ll93a5xRH3B6y47PN0ow294P8Er6Jzkh85D1GXN7uTHz9Hqu8s vbSPDjzzv5WvrJ5N4TzwpHYL++18i3WFmj39f/UiCZqLPaBiQH8pQQkSUk2ctWSgIgfwDJsMIik9 kbKpjN56OyPO6/TZk8/UHAoJIKYk5t1ivyN9THW/fqEsxL/YXR1GzRnWAkmzhdKHuE3/EJnjHreZ tjrHOyyYJDCcJkGUv5g9rdSIKkhvA8AWiJA5nz/+tJeGT0db0zHu8elCRrp4kJnGiCSv5XlZDqUy 2R7kuaFhPFQbI2IS4XunHdTImYBQ9wjOs6Rj0E8aPlCYQqgQY9zF+fVyGLYS6/YnmCZ+P4Z1K6hN P1lmgVJrwfWwNJ1FzoVJdQGB6z5CakuVdRCCfcWUmZocmglvpw05xgi5RFQiXcm9BhNllagjMdDs cx4l+0HBLsy+XYnLj6yHDfoqoGLO0xMiXv1mSZiprdP020otsI3LWhZY1G0CNSy/2bJb7iWC0TOo QSYLx7mB+h4++XyS3XFKCglfwgD3WJ15NKWvW2oxqbEPNUuMi/S+0jtcYkSSoj9GgNzWhkO7bncm buw2VIdSYK442PCPiv4iKDHb0SAcJk+7wDpgMkh2QbpYnvEIMIuoIgE10OhW4CLoeXLxzohZ+jAs jqXN17LVhxGfDfO6Pau+ykWwOoBDhozE `protect end_protected
bsd-3-clause
GustaMagik/RSA_Security_Token
VHDL_code/ver_A/RSA_Security_Token_Keyboard_Version/ModMult.vhd
1
6187
---------------------------------------------------------------------- ---- ---- ---- Modular Multiplier ---- ---- RSA Public Key Cryptography IP Core ---- ---- ---- ---- This file is part of the BasicRSA project ---- ---- http://www.opencores.org/ ---- ---- ---- ---- To Do: ---- ---- - Speed and efficiency improvements ---- ---- - Possible revisions for good engineering/coding practices ---- ---- ---- ---- Author(s): ---- ---- - Steven R. McQueen, [email protected] ---- ---- ---- ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2003 Steven R. McQueen ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------- -- -- CVS Revision History -- -- $Log: not supported by cvs2svn $ -- -- This module implements the modular multiplier for the RSA Public Key Cypher. It expects -- to receive a multiplicand on th MPAND bus, a multiplier on the MPLIER bus, and a modulus -- on the MODULUS bus. The multiplier and multiplicand must have a value less than the modulus. -- -- A Shift-and-Add algorithm is used in this module. For each bit of the multiplier, the -- multiplicand value is shifted. For each '1' bit of the multiplier, the shifted multiplicand -- value is added to the product. To ensure that the product is always expressed as a remainder -- two subtractions are performed on the product, P2 = P1-modulus, and P3 = P1-(2*modulus). -- The high-order bits of these results are used to determine whether P sould be copied from -- P1, P2, or P3. -- -- The operation ends when all '1' bits in the multiplier have been used. -- -- Comments, questions and suggestions may be directed to the author at [email protected]. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity modmult is Generic (MPWID: integer := 72); Port ( mpand : in std_logic_vector(MPWID-1 downto 0); mplier : in std_logic_vector(MPWID-1 downto 0); modulus : in std_logic_vector(MPWID-1 downto 0); product : out std_logic_vector(MPWID-1 downto 0); clk : in std_logic; ds : in std_logic; reset : in std_logic; ready : out std_logic); end modmult; architecture modmult1 of modmult is signal mpreg: std_logic_vector(MPWID-1 downto 0); signal mcreg, mcreg1, mcreg2: std_logic_vector(MPWID+1 downto 0); signal modreg1, modreg2: std_logic_vector(MPWID+1 downto 0); signal prodreg, prodreg1, prodreg2, prodreg3, prodreg4: std_logic_vector(MPWID+1 downto 0); --signal count: integer; signal modstate: std_logic_vector(1 downto 0); signal first: std_logic; begin -- final result... product <= prodreg4(MPWID-1 downto 0); -- add shifted value if place bit is '1', copy original if place bit is '0' with mpreg(0) select prodreg1 <= prodreg + mcreg when '1', prodreg when others; -- subtract modulus and subtract modulus * 2. prodreg2 <= prodreg1 - modreg1; prodreg3 <= prodreg1 - modreg2; -- negative results mean that we subtracted too much... modstate <= prodreg3(mpwid+1) & prodreg2(mpwid+1); -- select the correct modular result and copy it.... with modstate select prodreg4 <= prodreg1 when "11", prodreg2 when "10", prodreg3 when others; -- meanwhile, subtract the modulus from the shifted multiplicand... mcreg1 <= mcreg - modreg1; -- select the correct modular value and copy it. with mcreg1(MPWID) select mcreg2 <= mcreg when '1', mcreg1 when others; ready <= first; combine: process (clk, first, ds, mpreg, reset) is begin if reset = '1' then first <= '1'; elsif rising_edge(clk) then if first = '1' then -- First time through, set up registers to start multiplication procedure -- Input values are sampled only once if ds = '1' then mpreg <= mplier; mcreg <= "00" & mpand; modreg1 <= "00" & modulus; modreg2 <= '0' & modulus & '0'; prodreg <= (others => '0'); first <= '0'; end if; else -- when all bits have been shifted out of the multiplicand, operation is over -- Note: this leads to at least one waste cycle per multiplication if mpreg = 0 then first <= '1'; else -- shift the multiplicand left one bit mcreg <= mcreg2(MPWID downto 0) & '0'; -- shift the multiplier right one bit mpreg <= '0' & mpreg(MPWID-1 downto 1); -- copy intermediate product prodreg <= prodreg4; end if; end if; end if; end process combine; end modmult1;
bsd-3-clause
GustaMagik/RSA_Security_Token
VHDL_code/ver_B/RSA_Security_Token_USB_Version/USB_CMD_PARSER.vhd
1
14496
--Copyright 2017 Christoffer Mathiesen, Gustav Örtenberg --Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: -- --1. Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. -- --2. Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the --documentation and/or other materials provided with the distribution. -- --3. Neither the name of the copyright holder nor the names of its contributors may be used to endorse or promote products derived from this --software without specific prior written permission. -- --THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, --THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS --BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE --GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT --LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.all; use IEEE.STD_LOGIC_MISC.all; use IEEE.NUMERIC_STD.all; entity USB_CMD_PARSER is generic ( data_addr_width : integer; Frequency : integer); Port ( RXD_BYTE : in STD_LOGIC_VECTOR (7 downto 0); --Input byte from the serial-to-parallell translator TXD_BYTE : out STD_LOGIC_VECTOR (7 downto 0); --Output byte to the parallell-to-serial translator RAM_ADDR : out STD_LOGIC_VECTOR (data_addr_width-1 downto 0) := (others => '1'); --RAM ADDR where the RSA (signed) message is RAM_DATA_IN : in STD_LOGIC_VECTOR (7 downto 0); --DATA from the active RAM cell RAM_DATA_OUT : out STD_LOGIC_VECTOR (7 downto 0); --DATA to be written to active RAM cell if WE is high VALID_DATA_IN : in STD_LOGIC; --Flag to the parallell-to-serial translator to tell it that there's a new byte on the bus VALID_DATA_OUT : out STD_LOGIC; --Flag from the serial-to-parallell translator to tell that there's a new byte on the bus RAM_WE : out STD_LOGIC; --RAM Write Enable flag RSA_DONE : in STD_LOGIC; --Flag from RSA module that the values in RAM are the signed message READY_FOR_DATA : in STD_LOGIC; --Flag from RSA module that it is ready to recive a new message to sign RESET : in STD_LOGIC; --Reset for module. When high all registers and counters resets at next high flank of the clock CLK : in STD_LOGIC; --Global clock signal DATA_READY : out STD_LOGIC := '0'; --Flag for 64 byte recieved FIFO_EMPTY : in STD_LOGIC); end USB_CMD_PARSER; --USB_CMD_PARSER is a module that recives whole bytes from RXD_Controller and parses them as --either command or data. --The commands are all this module accepts, and any other data recieved is disgarded. --Everything that is to be sent is put in the TXD_FIFO in order of how it should be sent. --The commands are all on the form '*' followed by the command specific character, and are as follows: --*I - Request ID. The parser will respond with *IHEJ --*W[64 byte] - Write request. Depending on READY_FOR_DATA flag, this will either --respond with *B for "busy" or *D when all 64 bytes has been written to memory --*R -- Request encrypted data. Depending on DATA_READY flag, this will either --respond with *B for "busy" or *M[64 bytes], where the 64 bytes are the encrypted data --In certain cases if data is either not recieved or not provided, the module will respond --with *T for timeout architecture Behavioral of USB_CMD_PARSER is constant ASCII_ASTERISK : STD_LOGIC_VECTOR(7 downto 0) := x"2A"; --* constant ASCII_B : STD_LOGIC_VECTOR(7 downto 0) := x"42"; --B constant ASCII_D : STD_LOGIC_VECTOR(7 downto 0) := x"44"; --D constant ASCII_E : STD_LOGIC_VECTOR(7 downto 0) := x"45"; --E constant ASCII_H : STD_LOGIC_VECTOR(7 downto 0) := x"48"; --H constant ASCII_J : STD_LOGIC_VECTOR(7 downto 0) := x"4A"; --J constant ASCII_W : STD_LOGIC_VECTOR(7 downto 0) := x"57"; --W constant ASCII_R : STD_LOGIC_VECTOR(7 downto 0) := x"52"; --R constant ASCII_M : STD_LOGIC_VECTOR(7 downto 0) := x"4D"; --M constant ASCII_I : STD_LOGIC_VECTOR(7 downto 0) := x"49"; --I constant ASCII_T : STD_LOGIC_VECTOR(7 downto 0) := x"54"; --T --No. They are not in alphabetical order. Deal with it type STATES is (IDLE, TRANSLATE_CMD, DO_CMD); --States for the overarching functionality type CMDS is (TIMEOUT, RECIVE_DATA, TRANSMIT_DATA, TRANSMIT_ID, TRANSMIT_BUSY); --Depending on flags and inputs different commands are to be executed signal TIMEOUT_COUNTER : integer range 0 to Frequency/2 := 0; signal BYTE_COUNTER : unsigned (7 downto 0) := (others => '0'); --Counter to keep track of what byte in memory to read/write signal HEADER_COUNTER : unsigned (1 downto 0) := (others => '0'); --counter to keep track of if an * or a message specific char is to be sent signal STATE : STATES := IDLE; signal CMD : CMDS; signal flag : std_logic := '0'; Signal DATA_READY_S : STD_LOGIC; begin DATA_READY <= DATA_READY_S; process(clk) variable RECIVED_DATA : STD_LOGIC_VECTOR(7 downto 0); --Procedure for IDLE state procedure IDLE (DATA : in STD_LOGIC_VECTOR(7 downto 0); --Data form RXD signal STATE : out STATES) is begin if DATA = ASCII_ASTERISK then --Procede iff the header * is detected state <= TRANSLATE_CMD; end if; end IDLE; --Procedure for TRANSLATE_CMD state procedure TRANSLATE (DATA : in STD_LOGIC_VECTOR(7 downto 0); --Data from RXD signal STATE : out STATES; signal CMD : out CMDS; signal RAM_ADDR : out STD_LOGIC_VECTOR(data_addr_width-1 downto 0)) is begin case DATA is --Write request when ASCII_W => STATE <= DO_CMD; --If ready for recieving data we can execute this command if READY_FOR_DATA = '1' then CMD <= RECIVE_DATA; else --otherwise we have to tell the PC that we're busy CMD <= TRANSMIT_BUSY; end if; --Request of data from the PC when ASCII_R => STATE <= DO_CMD; --If the RSA is done and in memory, and no other active transmit jobs, we can transmit it to the PC if RSA_DONE = '1' AND FIFO_EMPTY = '1' then CMD <= TRANSMIT_DATA; RAM_ADDR <= (others => '0'); else --Otherwise tell the PC that the unit is busy CMD <= TRANSMIT_BUSY; end if; --Request of ID-sequence from the PC when ASCII_I => STATE <= DO_CMD; CMD <= TRANSMIT_ID; --Illegal command. Go back to idle when others => STATE <= IDLE; end case; end TRANSLATE; --Procedure for DO_CMD state procedure DO_CMD (DATA : in STD_LOGIC_VECTOR(7 downto 0); --Data form RXD as input BYTE_COUNT : in unsigned(7 downto 0); --Byte_counter as input HEADER_COUNT : in unsigned(1 downto 0); --Header_counter as input CMD : in CMDS; --current CMD as input VALID_DATA_IN : in STD_LOGIC; --VALID_DATA_IN as input variable byte_count_var, header_count_var : in integer; --integer versions of the counters as inputs signal STATE : out STATES; --May change current state signal RAM_ADDR : out STD_LOGIC_VECTOR(data_addr_width-1 downto 0); --May change RAM_ADDR signal RAM_WE, VALID_DATA_OUT : out STD_LOGIC; --May change WE and VALID flags signal RAM_DATA_OUT, TXD_BYTE : out STD_LOGIC_VECTOR (7 downto 0);--May change RAM_DATA_OUT and TXD signal BYTE_COUNTER : out unsigned(7 downto 0); --May change the counters signal HEADER_COUNTER : out unsigned(1 downto 0)) is begin case CMD is --Recieve data case. Write the following 64 bytes to the RAM when RECIVE_DATA => if BYTE_COUNT_var > 63 then --all bytes have been written RAM_ADDR <= (others => '1'); --Reset signals that are not used anymore RAM_WE <= '0'; RAM_DATA_OUT <= (others => '0'); VALID_DATA_OUT <= '1'; DATA_READY_S <= '1'; if header_count_var = 0 then -- When the message is recived, tell the PC by sending *D TXD_BYTE <= ASCII_ASTERISK; HEADER_COUNTER <= HEADER_COUNT + 1; else TXD_BYTE <= ASCII_D; HEADER_COUNTER <= (others => '0'); STATE <= IDLE; BYTE_COUNTER <= (others => '0'); end if; elsif VALID_DATA_IN = '1' then --Write the current number to the current cell in memory DATA_READY_S <= '0'; RAM_ADDR <= STD_LOGIC_VECTOR(BYTE_COUNT(5 downto 0)); RAM_DATA_OUT <= DATA; RAM_WE <= '1'; BYTE_COUNTER <= BYTE_COUNT + 1; --inc the RAM ptr end if; --Tansmit data case. Write the first 64 bytes in RAM to the port when TRANSMIT_DATA => VALID_DATA_OUT <= '1'; --First write the header *M for signal to the PC that a message is comming if HEADER_COUNT_var = 0 then TXD_BYTE <= ASCII_ASTERISK; HEADER_COUNTER <= HEADER_COUNT + 1; elsif HEADER_COUNT_var = 1 then TXD_BYTE <= ASCII_M; HEADER_COUNTER <= HEADER_COUNT + 1; BYTE_COUNTER <= BYTE_COUNT + 1; elsif BYTE_COUNT_VAR > 63 then --all bytes has been transmitted STATE <= IDLE; RAM_ADDR <= (others => '0'); BYTE_COUNTER <= (others => '0'); TXD_BYTE <= RAM_DATA_IN; else --Put the data to the serial out RAM_ADDR <= STD_LOGIC_VECTOR(BYTE_COUNT(5 downto 0)); TXD_BYTE <= RAM_DATA_IN; BYTE_COUNTER <= BYTE_COUNT + 1; end if; when TRANSMIT_ID => --First write the header *I for signal to the PC that an ID is comming if HEADER_COUNT_var = 0 then TXD_BYTE <= ASCII_ASTERISK; HEADER_COUNTER <= HEADER_COUNT + 1; elsif HEADER_COUNT_var = 1 then TXD_BYTE <= ASCII_I; HEADER_COUNTER <= HEADER_COUNT + 1; else --Put the ID on the serial out. The ID is: HEJ case BYTE_COUNT_VAR is when 0 => TXD_BYTE <= ASCII_H; BYTE_COUNTER <= BYTE_COUNT + 1; when 1 => TXD_BYTE <= ASCII_E; BYTE_COUNTER <= BYTE_COUNT + 1; when others => TXD_BYTE <= ASCII_J; --Last char to be transmitted. Return to IDLE state STATE <= IDLE; HEADER_COUNTER <= (others => '0'); BYTE_COUNTER <= (others => '0'); end case; end if; VALID_DATA_OUT <= '1'; when TRANSMIT_BUSY => --First write the header *B for signal to tell PC that unit is busy if HEADER_COUNT_var = 0 then TXD_BYTE <= ASCII_ASTERISK; HEADER_COUNTER <= HEADER_COUNT + 1; else TXD_BYTE <= ASCII_B; HEADER_COUNTER <= (others => '0'); STATE <= IDLE; end if; VALID_DATA_OUT <= '1'; when TIMEOUT => RAM_ADDR <= (others => '1'); --Reset signals that are not used anymore RAM_WE <= '0'; RAM_DATA_OUT <= (others => '0'); VALID_DATA_OUT <= '1'; BYTE_COUNTER <= (others => '0'); if HEADER_COUNT_var = 0 then TXD_BYTE <= ASCII_ASTERISK; HEADER_COUNTER <= HEADER_COUNT + 1; else TXD_BYTE <= ASCII_T; HEADER_COUNTER <= (others => '0'); STATE <= IDLE; end if; end case; end DO_CMD; variable BYTE_COUNT_VAR : integer := 0; variable HEADER_COUNT_VAR : integer := 0; begin if rising_edge(clk) then if RESET = '1' then --synchronous reset STATE <= IDLE; -- CMD <= NONE; VALID_DATA_OUT <= '0'; RAM_ADDR <= (others => '0'); RAM_DATA_OUT <= (others => '0'); RAM_WE <= '0'; TXD_BYTE <= (others => '0'); BYTE_COUNTER <= (others => '0'); HEADER_COUNTER <= (others => '0'); TIMEOUT_COUNTER <= 0; DATA_READY_S <= '0'; else if DATA_READY_S = '1' and READY_FOR_DATA = '1' then DATA_READY_S <= '0'; end if; case STATE is when IDLE => --Reset everything VALID_DATA_OUT <= '0'; RAM_ADDR <= (others => '0'); RAM_DATA_OUT <= (others => '0'); RAM_WE <= '0'; TXD_BYTE <= (others => '0'); BYTE_COUNTER <= (others => '0'); HEADER_COUNTER <= (others => '0'); TIMEOUT_COUNTER <= 0; --If we have a valid input and that input is * then we are going to the TRANSLATE_CMD state if VALID_DATA_IN = '1' then RECIVED_DATA := RXD_BYTE; --create variable for procedure --Use the procedure IDLE with the signals and variables that it desires IDLE(RECIVED_DATA, STATE); end if; --Parse the command when TRANSLATE_CMD => --Timeout counter if TIMEOUT_COUNTER < Frequency/2-1 then --If not timeout yet, increase the counter TIMEOUT_COUNTER <= TIMEOUT_COUNTER + 1; end if; if VALID_DATA_IN = '1' then RECIVED_DATA := RXD_BYTE; --create variable for procedure --Use the procedure TRANSLATE with the signals and variables that it desires TRANSLATE(RECIVED_DATA, STATE, CMD, RAM_ADDR); TIMEOUT_COUNTER <= 0; elsif TIMEOUT_COUNTER >= Frequency/2-1 then STATE <= DO_CMD; CMD <= TIMEOUT; TIMEOUT_COUNTER <= 0; end if; --Do the command that was decided from TRANSLATE when DO_CMD => RECIVED_DATA := RXD_BYTE; BYTE_COUNT_VAR := to_integer(BYTE_COUNTER); --create variables for procedure HEADER_COUNT_VAR := to_integer(HEADER_COUNTER); --Use the procedure DO_CMD with the signals and variables that it desires unless timeout DO_CMD(DATA => RECIVED_DATA, BYTE_COUNT => BYTE_COUNTER, HEADER_COUNT => HEADER_COUNTER, CMD => CMD, VALID_DATA_IN => VALID_DATA_IN, BYTE_COUNT_VAR => BYTE_COUNT_VAR, HEADER_COUNT_VAR => HEADER_COUNT_VAR, --inputs STATE => STATE, RAM_ADDR => RAM_ADDR, RAM_WE => RAM_WE, VALID_DATA_OUT => VALID_DATA_OUT, RAM_DATA_OUT => RAM_DATA_OUT, TXD_BYTE => TXD_BYTE, BYTE_COUNTER => BYTE_COUNTER, HEADER_COUNTER => HEADER_COUNTER); --outputs --Timeout counter if TIMEOUT_COUNTER < Frequency/2-1 then --If not timeout yet, increase the counter TIMEOUT_COUNTER <= TIMEOUT_COUNTER + 1; else --Timeout. Proceed to send *T CMD <= TIMEOUT; TIMEOUT_COUNTER <= 0; end if; end case; end if; end if; end process; end Behavioral;
bsd-3-clause
bzero/freezing-spice
src/if_pkg.vhd
2
493
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.common.all; package if_pkg is -- inputs to Instruction Fetch stage type if_in is record insn : word; load_pc : std_logic; next_pc : word; stall : std_logic; end record if_in; -- outputs from Instruction Fetch stage type if_out is record fetch_addr : word; pc : word; end record if_out; end package if_pkg;
bsd-3-clause
bzero/freezing-spice
src/if.vhd
2
2389
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.common.all; use work.if_pkg.all; entity instruction_fetch is port (clk : in std_logic; rst_n : in std_logic; d : in if_in; q : out if_out); end entity instruction_fetch; architecture Behavioral of instruction_fetch is ------------------------------------------------- -- Types ------------------------------------------------- type registers is record pc : unsigned(word'range); npc : unsigned(word'range); end record registers; ------------------------------------------------- -- Signals ------------------------------------------------- signal r, rin : registers; signal zero : std_logic := '1'; ------------------------------------------------- -- Constants ------------------------------------------------- constant c_four : unsigned(2 downto 0) := to_unsigned(4, 3); begin -- architecture Behavioral ------------------------------------------------- -- assign outputs ------------------------------------------------- q.fetch_addr <= std_logic_vector(rin.pc); q.pc <= std_logic_vector(r.pc); ------------------------------------------------- -- PC mux ------------------------------------------------- pc_next_proc : process (d, r, zero) is variable v : registers; begin -- process pc_next_proc -- defaults v := r; if (zero = '1') then v.pc := (others => '0'); elsif (d.load_pc = '1') then v.pc := unsigned(d.next_pc); elsif (d.stall = '1') then v.pc := r.pc; else v.pc := r.pc + c_four; end if; rin <= v; end process pc_next_proc; ------------------------------------------------- -- create the Program Counter register ------------------------------------------------- pc_reg_proc : process (clk, rst_n) is begin -- process pc_reg if (rst_n = '0') then r.pc <= (others => '0'); zero <= '1'; elsif (rising_edge(clk)) then r <= rin; zero <= '0'; end if; end process pc_reg_proc; end architecture Behavioral;
bsd-3-clause
iti-luebeck/RTeasy1
src/main/resources/vhdltmpl/sram_control.vhd
3
892
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY sram_control IS GENERIC(data_width : positive); PORT( CLK, RESET : IN std_logic; C_WRITE, C_READ : IN std_logic; DATA_IN : IN std_logic_vector(data_width-1 DOWNTO 0); TO_DATA_IN : OUT std_logic_vector(data_width-1 DOWNTO 0); CS, WE : OUT std_logic; SELECT_ALL : OUT std_logic ); END sram_control; ARCHITECTURE primitive OF sram_control IS SIGNAL reset_on : std_logic; BEGIN reset_logic: PROCESS BEGIN reset_on <= '0'; WAIT UNTIL RESET='1'; WAIT UNTIL falling_edge(CLK); reset_on <= '1'; WAIT UNTIL rising_edge(CLK); END PROCESS; SELECT_ALL <= reset_on; WE <= (NOT CLK) AND (reset_on OR (NOT C_READ)); CS <= (NOT CLK) AND (C_WRITE OR C_READ); TO_DATA_IN <= (OTHERS => '0') WHEN reset_on='1' ELSE DATA_IN; END primitive;
bsd-3-clause
iti-luebeck/RTeasy1
src/main/resources/vhdltmpl/cu_entity.vhd
3
248
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY %%COMPONENT_NAME_cu IS PORT( CLK, RESET : IN std_logic; C : OUT std_logic_vector(0 TO %%C_MAX); I : IN std_logic_vector(0 TO %%I_MAX) ); END %%COMPONENT_NAME_cu;
bsd-3-clause
iti-luebeck/RTeasy1
src/main/resources/vhdltmpl/sram_array_comp.vhd
1
417
COMPONENT sram_array GENERIC(addr_width, data_width : positive); PORT( CS, WE : IN std_logic; SELECT_ALL : IN std_logic; ADDR : IN std_logic_vector(addr_width-1 DOWNTO 0); DATA_IN : IN std_logic_vector(data_width-1 DOWNTO 0); DATA_OUT : OUT std_logic_vector(data_width-1 DOWNTO 0) ); END COMPONENT; FOR ALL : sram_array USE ENTITY WORK.sram_array(primitive);
bsd-3-clause
bzero/freezing-spice
src/compare_unit.vhd
2
2313
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.common.all; use work.decode_pkg.all; entity compare_unit is port ( branch_type : in branch_type_t; op1 : in word; op2 : in word; compare_result : out std_logic); end entity compare_unit; architecture behavioral of compare_unit is signal compare : std_logic; begin -- architecture behavioral -- assign output compare_result <= compare; -- purpose: compares two numbers according to branch_type -- type : combinational -- inputs : branch_type, op1, op2 -- outputs: compare_result compare_proc: process (branch_type, op1, op2) is variable ou1, ou2 : unsigned(31 downto 0); variable os1, os2 : signed(31 downto 0); begin -- process compare_proc ou1 := unsigned(op1); os1 := signed(op1); ou2 := unsigned(op2); os2 := signed(op2); compare <= '0'; case (branch_type) is when BEQ => if op1 = op2 then compare <= '1'; else compare <= '0'; end if; when BNE => if op1 /= op2 then compare <= '1'; else compare <= '0'; end if; when BLT => if os1 < os2 then compare <= '1'; else compare <= '0'; end if; when BGE => if os1 >= os2 then compare <= '1'; else compare <= '0'; end if; when BLTU => if ou1 < ou2 then compare <= '1'; else compare <= '0'; end if; when BGEU => if ou1 >= ou2 then compare <= '1'; else compare <= '0'; end if; when others => compare <= '0'; end case; end process compare_proc; end architecture behavioral;
bsd-3-clause
eamadio/fpgaMSP430
fmsp430/core/fmsp_register_file.vhd
1
15186
------------------------------------------------------------------------------ --! Copyright (C) 2009 , Olivier Girard -- --! Redistribution and use in source and binary forms, with or without --! modification, are permitted provided that the following conditions --! are met: --! * Redistributions of source code must retain the above copyright --! notice, this list of conditions and the following disclaimer. --! * Redistributions in binary form must reproduce the above copyright --! notice, this list of conditions and the following disclaimer in the --! documentation and/or other materials provided with the distribution. --! * Neither the name of the authors nor the names of its contributors --! may be used to endorse or promote products derived from this software --! without specific prior written permission. -- --! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE --! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE --! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE --! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, --! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF --! THE POSSIBILITY OF SUCH DAMAGE -- ------------------------------------------------------------------------------ -- --! @file fmsp_register_file.vhd --! --! @brief fpgaMSP430 Register files -- --! @author Olivier Girard, [email protected] --! @author Emmanuel Amadio, [email protected] (VHDL Rewrite) -- ------------------------------------------------------------------------------ --! @version 1 --! @date: 2017-04-21 ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; --! standard unresolved logic UX01ZWLH- use ieee.numeric_std.all; --! for the signed, unsigned types and arithmetic ops use work.fmsp_functions.all; entity fmsp_register_file is port ( mclk : in std_logic; --! Main system clock mrst : in std_logic; --! Main system reset --! INPUTs alu_stat : in std_logic_vector(3 downto 0); --! ALU Status {V,N,Z,C} alu_stat_wr : in std_logic_vector(3 downto 0); --! ALU Status write {V,N,Z,C} inst_bw : in std_logic; --! Decoded Inst: byte width inst_dest : in std_logic_vector(15 downto 0); --! Register destination selection inst_src : in std_logic_vector(15 downto 0); --! Register source selection pc : in std_logic_vector(15 downto 0); --! Program counter reg_dest_val : in std_logic_vector(15 downto 0); --! Selected register destination value reg_dest_wr : in std_logic; --! Write selected register destination reg_pc_call : in std_logic; --! Trigger PC update for a CALL instruction reg_sp_val : in std_logic_vector(15 downto 0); --! Stack Pointer next value reg_sp_wr : in std_logic; --! Stack Pointer write reg_sr_wr : in std_logic; --! Status register update for RETI instruction reg_sr_clr : in std_logic; --! Status register clear for interrupts reg_incr : in std_logic; --! Increment source register --! OUTPUTs cpuoff : out std_logic; --! Turns off the CPU gie : out std_logic; --! General interrupt enable oscoff : out std_logic; --! Turns off LFXT1 clock input pc_sw : out std_logic_vector(15 downto 0); --! Program counter software value pc_sw_wr : out std_logic; --! Program counter software write reg_dest : out std_logic_vector(15 downto 0); --! Selected register destination content reg_src : out std_logic_vector(15 downto 0); --! Selected register source content scg0 : out std_logic; --! System clock generator 1. Turns off te DCO scg1 : out std_logic; --! System clock generator 1. Turns off the SMmclk status : out std_logic_vector(3 downto 0) --! R2 Status {V,N,Z,C} ); end entity fmsp_register_file; architecture RTL of fmsp_register_file is --type single_register is std_logic_vector(15 downto 0);-- type array_16registers is array(0 to 15) of std_logic_vector(15 downto 0); type fmsp_register_file_in_type is record alu_stat : std_logic_vector(3 downto 0); --! ALU Status {V,N,Z,C} alu_stat_wr : std_logic_vector(3 downto 0); --! ALU Status write {V,N,Z,C} inst_bw : std_logic; --! Decoded Inst: byte width inst_dest : std_logic_vector(15 downto 0); --! Register destination selection inst_src : std_logic_vector(15 downto 0); --! Register source selection pc : std_logic_vector(15 downto 0); --! Program counter reg_dest_val : std_logic_vector(15 downto 0); --! Selected register destination value reg_dest_wr : std_logic; --! Write selected register destination reg_pc_call : std_logic; --! Trigger PC update for a CALL instruction reg_sp_val : std_logic_vector(15 downto 0); --! Stack Pointer next value reg_sp_wr : std_logic; --! Stack Pointer write reg_sr_wr : std_logic; --! Status register update for RETI instruction reg_sr_clr : std_logic; --! Status register clear for interrupts reg_incr : std_logic; --! Increment source register end record; type reg_type is record --! From outside of module --! Internal mess of module -- incr_op : std_logic_vector(15 downto 0); -- reg_incr_val : std_logic_vector(15 downto 0); -- reg_dest_val_in : std_logic_vector(15 downto 0); -- inst_src_in : std_logic_vector(15 downto 0); -- r1_wr : std_logic; -- r1_inc : std_logic; -- r2_wr : std_logic; -- r2_c : std_logic; --! C -- r2_z : std_logic; --! Z -- r2_n : std_logic; --! N -- r2_nxt : std_logic_vector(7 downto 3); -- r2_v : std_logic; --! V -- reg_wr : std_logic_vector(15 downto 0); -- reg_inc : std_logic_vector(15 downto 0); regs_array : array_16registers; --! To outside of module -- cpuoff : std_logic; --! Turns off the CPU -- gie : std_logic; --! General interrupt enable -- oscoff : std_logic; --! Turns off LFXT1 clock input -- pc_sw : std_logic_vector(15 downto 0); --! Program counter software value -- pc_sw_wr : std_logic; --! Program counter software write -- reg_dest : std_logic_vector(15 downto 0); --! Selected register destination content -- reg_src : std_logic_vector(15 downto 0); --! Selected register source content -- scg1 : std_logic; --! System clock generator 1. Turns off the SMmclk -- status : std_logic_vector(3 downto 0) --! R2 Status {V,N,Z,C} end record; signal d : fmsp_register_file_in_type; signal r : reg_type := ( regs_array => (Others => (Others => '0')) ); signal rin : reg_type; begin d.alu_stat <= alu_stat; d.alu_stat_wr <= alu_stat_wr; d.inst_bw <= inst_bw; d.inst_dest <= inst_dest; d.inst_src <= inst_src; d.pc <= pc; d.reg_dest_val <= reg_dest_val; d.reg_dest_wr <= reg_dest_wr; d.reg_pc_call <= reg_pc_call; d.reg_sp_val <= reg_sp_val; d.reg_sp_wr <= reg_sp_wr; d.reg_sr_wr <= reg_sr_wr; d.reg_sr_clr <= reg_sr_clr; d.reg_incr <= reg_incr; COMB : process (d, r) variable v : reg_type; variable v_incr_op : std_logic_vector(15 downto 0); variable v_reg_incr_val : std_logic_vector(15 downto 0); variable v_reg_dest_val_in : std_logic_vector(15 downto 0); variable v_inst_src_in : std_logic_vector(15 downto 0); -- variable v_r0 : std_logic_vector(15 downto 0); variable v_r1_wr : std_logic; variable v_r1_inc : std_logic; variable v_r2_wr : std_logic; variable v_r2_c : std_logic; --! C variable v_r2_z : std_logic; --! Z variable v_r2_n : std_logic; --! N variable v_r2_nxt : std_logic_vector(7 downto 3); variable v_r2_v : std_logic; --! V variable v_reg_wr : std_logic_vector(15 downto 0); variable v_reg_inc : std_logic_vector(15 downto 0); variable v_pc_sw : std_logic_vector(15 downto 0); --! Program counter software value variable v_pc_sw_wr : std_logic; --! Program counter software write variable v_reg_dest : std_logic_vector(15 downto 0); --! Selected register destination content variable v_reg_src : std_logic_vector(15 downto 0); --! Selected register source content variable UNUSED_reg_sp_val_0 : std_logic; begin --! default assignment v := r; --! overriding assignments --! Source input selection mask (for interrupt support) ------------------------------------------------------- if (d.reg_sr_clr = '1') then v_inst_src_in := x"0004"; else v_inst_src_in := d.inst_src; end if; REG_MUXING_SRC : for i in 0 to 15 loop v_reg_src(i) := (d.pc(i) and v_inst_src_in(0)) or (r.regs_array(1)(i) and v_inst_src_in(1)) or (r.regs_array(2)(i) and v_inst_src_in(2)) or (r.regs_array(3)(i) and v_inst_src_in(3)) or (r.regs_array(4)(i) and v_inst_src_in(4)) or (r.regs_array(5)(i) and v_inst_src_in(5)) or (r.regs_array(6)(i) and v_inst_src_in(6)) or (r.regs_array(7)(i) and v_inst_src_in(7)) or (r.regs_array(8)(i) and v_inst_src_in(8)) or (r.regs_array(9)(i) and v_inst_src_in(9)) or (r.regs_array(10)(i) and v_inst_src_in(10)) or (r.regs_array(11)(i) and v_inst_src_in(11)) or (r.regs_array(12)(i) and v_inst_src_in(12)) or (r.regs_array(13)(i) and v_inst_src_in(13)) or (r.regs_array(14)(i) and v_inst_src_in(14)) or (r.regs_array(15)(i) and v_inst_src_in(15)); end loop; --============================================================================= --! 1) AUTOINCREMENT UNIT --============================================================================= if (d.inst_bw = '1') then v_incr_op := x"0001"; else v_incr_op := x"0002"; end if; v_reg_incr_val := STD_LOGIC_VECTOR(UNSIGNED(v_reg_src) + UNSIGNED(v_incr_op)); if (d.inst_bw = '1') then v_reg_dest_val_in := x"00" & d.reg_dest_val(7 downto 0); else v_reg_dest_val_in := d.reg_dest_val; end if; --============================================================================= --! 2) SPECIAL REGISTERS (R1/R2/R3) --============================================================================= --! R0: Program counter ----------------------- --v.regs_array(0) := d.pc; --v_r0 := d.pc; v_pc_sw := v_reg_dest_val_in; v_pc_sw_wr := (d.inst_dest(0) and d.reg_dest_wr) or d.reg_pc_call; --! R1: Stack pointer --------------------- v_r1_wr := d.inst_dest(1) and d.reg_dest_wr; v_r1_inc := v_inst_src_in(1) and d.reg_incr; if (v_r1_wr = '1') then v.regs_array(1) := v_reg_dest_val_in and x"FFFE"; elsif (d.reg_sp_wr = '1') then v.regs_array(1) := d.reg_sp_val and x"FFFE"; elsif (v_r1_inc = '1') then v.regs_array(1) := v_reg_incr_val and x"FFFE"; end if; UNUSED_reg_sp_val_0 := d.reg_sp_val(0); --! R2: Status register ----------------------- v_r2_wr := (d.inst_dest(2) and d.reg_dest_wr) or d.reg_sr_wr; --! C if (d.alu_stat_wr(0) = '1') then v_r2_c := d.alu_stat(0); else if (v_r2_wr = '1') then v_r2_c := v_reg_dest_val_in(0); else v_r2_c := r.regs_array(2)(0); end if; end if; --! Z if (d.alu_stat_wr(1) = '1') then v_r2_z := d.alu_stat(1); else if (v_r2_wr = '1') then v_r2_z := v_reg_dest_val_in(1); else v_r2_z := r.regs_array(2)(1); end if; end if; --! N if (d.alu_stat_wr(2) = '1') then v_r2_n := d.alu_stat(2); else if (v_r2_wr = '1') then v_r2_n := v_reg_dest_val_in(2); else v_r2_n := r.regs_array(2)(2); end if; end if; --! NXT if (v_r2_wr = '1') then v_r2_nxt := v_reg_dest_val_in(7 downto 3); else v_r2_nxt := r.regs_array(2)(7 downto 3); end if; --! V if (d.alu_stat_wr(3) = '1') then v_r2_v := d.alu_stat(3); else if (v_r2_wr = '1') then v_r2_v := v_reg_dest_val_in(8); else v_r2_v := r.regs_array(2)(8); end if; end if; --R2_REG : process(mclk,mrst) if (d.reg_sr_clr = '1') then v.regs_array(2) := v_reg_dest_val_in; else v.regs_array(2) := "0000000" & v_r2_v & v_r2_nxt & v_r2_n & v_r2_z & v_r2_c; end if; --! R3: Constant generator -------------------------- v_reg_wr(3) := d.inst_dest(3) and d.reg_dest_wr; v_reg_inc(3) := v_inst_src_in(3) and d.reg_incr; --R3_REG : process(mclk,mrst) if (v_reg_wr(3) = '1') then v.regs_array(3) := v_reg_dest_val_in; elsif (v_reg_inc(3) = '1') then v.regs_array(3) := v_reg_incr_val; end if; --============================================================================= --! 4) GENERAL PURPOSE REGISTERS (R4...R15) --============================================================================= -- GENERAL_PURPOSE_REGISTERS : process(mclk,mrst) for i in 4 to 15 loop if ( (d.inst_dest(i) and d.reg_dest_wr) = '1') then v.regs_array(i) := v_reg_dest_val_in; elsif ( (v_inst_src_in(i) and d.reg_incr) = '1') then v.regs_array(i) := v_reg_incr_val; end if; end loop; --============================================================================= --! 5) READ MUX --============================================================================= REG_MUXING_DEST : for i in 0 to 15 loop v_reg_dest(i) := (d.pc(i) and d.inst_dest(0)) or (r.regs_array(1)(i) and d.inst_dest(1)) or (r.regs_array(2)(i) and d.inst_dest(2)) or (r.regs_array(3)(i) and d.inst_dest(3)) or (r.regs_array(4)(i) and d.inst_dest(4)) or (r.regs_array(5)(i) and d.inst_dest(5)) or (r.regs_array(6)(i) and d.inst_dest(6)) or (r.regs_array(7)(i) and d.inst_dest(7)) or (r.regs_array(8)(i) and d.inst_dest(8)) or (r.regs_array(9)(i) and d.inst_dest(9)) or (r.regs_array(10)(i) and d.inst_dest(10)) or (r.regs_array(11)(i) and d.inst_dest(11)) or (r.regs_array(12)(i) and d.inst_dest(12)) or (r.regs_array(13)(i) and d.inst_dest(13)) or (r.regs_array(14)(i) and d.inst_dest(14)) or (r.regs_array(15)(i) and d.inst_dest(15)); end loop; --! drive register inputs rin <= v; --! drive module outputs status <= r.regs_array(2)(8) & r.regs_array(2)(2 downto 0); scg1 <= r.regs_array(2)(7); scg0 <= r.regs_array(2)(6); oscoff <= r.regs_array(2)(5); cpuoff <= r.regs_array(2)(4) or (v_r2_nxt(4) and v_r2_wr); gie <= r.regs_array(2)(3); pc_sw <= v_pc_sw; pc_sw_wr <= v_pc_sw_wr; reg_dest <= v_reg_dest; reg_src <= v_reg_src; end process COMB; REGS : process (mclk,mrst) begin if (mrst = '1') then r.regs_array <= (Others => (Others => '0')); elsif rising_edge(mclk) then r <= rin; end if; end process REGS; end RTL;
bsd-3-clause
loa-org/loa-hdl
modules/peripheral_register/hdl/reg_file_pkg.vhd
1
5846
------------------------------------------------------------------------------- -- Title : Components package (generated by Emacs VHDL Mode 3.33.6) -- Project : ------------------------------------------------------------------------------- -- File : components.vhd -- Author : Calle <calle@Alukiste> -- Created : 2012-03-11 -- Platform : -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2012 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.reset_pkg.all; ------------------------------------------------------------------------------- package reg_file_pkg is type reg_file_type is array (natural range <>) of std_logic_vector(15 downto 0); ----------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------- -- A single 16-bit register. component peripheral_register is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#; RESET_IMPL : reset_type := none); port ( dout_p : out std_logic_vector(15 downto 0); din_p : in std_logic_vector(15 downto 0); bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; reset : in std_logic; clk : in std_logic); end component peripheral_register; -- Several (2**(REG_ADDR_BIT)) 16-bit registers. component reg_file generic ( BASE_ADDRESS : integer range 0 to 2**15-1; REG_ADDR_BIT : natural; RESET_IMPL : reset_type := none); port ( bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; reg_o : out reg_file_type(2**REG_ADDR_BIT-1 downto 0); reg_i : in reg_file_type(2**REG_ADDR_BIT-1 downto 0); reset : in std_logic; clk : in std_logic); end component; component reg_file_bram is generic ( BASE_ADDRESS : integer range 0 to 2**15-1; RESET_IMPL : reset_type := none); port ( bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; bram_data_i : in std_logic_vector(15 downto 0); bram_data_o : out std_logic_vector(15 downto 0); bram_addr_i : in std_logic_vector(9 downto 0); bram_we_p : in std_logic; reset : in std_logic; clk : in std_logic); end component reg_file_bram; component reg_file_bram_double_buffered generic ( BASE_ADDRESS : integer range 0 to 2**15-1; RESET_IMPL : reset_type := none); port ( bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; bram_data_i : in std_logic_vector(35 downto 0); bram_data_o : out std_logic_vector(35 downto 0); bram_addr_i : in std_logic_vector(7 downto 0); bram_we_p : in std_logic; irq_o : out std_logic; ack_i : in std_logic; ready_i : in std_logic; enable_o : out std_logic; bank_x_o : out std_logic; bank_y_o : out std_logic; reset : in std_logic; clk : in std_logic); end component; component double_buffering is port ( ready_p : in std_logic; enable_p : out std_logic; irq_p : out std_logic; ack_p : in std_logic; bank_p : out std_logic; clk : in std_logic); end component double_buffering; procedure readWord( constant addr : natural range 0 to 2**15-1; signal bus_i : out busdevice_in_type; signal clk : in std_logic); procedure writeWord ( constant addr : in natural range 0 to 2**15-1; constant data : in natural range 0 to 2**16-1; signal bus_i : out busdevice_in_type; signal clk : in std_logic); end reg_file_pkg; ------------------------------------------------------------------------------- package body reg_file_pkg is ---------------------------------------------------------------------------- -- Debug functions to simulate bus activity ---------------------------------------------------------------------------- -- Read a word from the internal bus -- Example usage: readWord(addr => BASE_ADDRESS + 1, bus_i => bus_i, clk => clk); procedure readWord( constant addr : natural range 0 to 2**15-1; signal bus_i : out busdevice_in_type; signal clk : in std_logic ) is begin -- procedure readWord if (clk = '1') then wait until falling_edge(clk); end if; bus_i.addr <= std_logic_vector(to_unsigned(addr, bus_i.addr'length)); bus_i.data <= x"1234"; -- dummy data in read cycle bus_i.re <= '1'; wait until rising_edge(clk); -- ret := bus_o.data; wait until falling_edge(clk); bus_i.re <= '0'; end procedure readWord; -- Write a word to the internal bus -- Example usage: writeWord(addr => 16#0010#, data => 16#0055#, bus_i => bus_i, clk => clk); procedure writeWord ( constant addr : in natural range 0 to 2**15-1; constant data : in natural range 0 to 2**16-1; signal bus_i : out busdevice_in_type; signal clk : in std_logic) is begin -- procedure writeWord if (clk = '1') then wait until falling_edge(clk); end if; bus_i.addr <= std_logic_vector(to_unsigned(addr, bus_i.addr'length)); bus_i.data <= std_logic_vector(to_unsigned(data, bus_i.data'length)); bus_i.we <= '1'; wait until rising_edge(clk); wait until falling_edge(clk); bus_i.we <= '0'; end procedure writeWord; end package body reg_file_pkg;
bsd-3-clause
loa-org/loa-hdl
modules/imotor/tb/imotor_module_tb.vhd
2
4406
------------------------------------------------------------------------------- -- Title : Testbench for design "imotor_module" ------------------------------------------------------------------------------- -- Author : strongly-typed -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2013 strongly-typed ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library work; use work.bus_pkg.all; use work.reg_file_pkg.all; use work.imotor_module_pkg.all; ------------------------------------------------------------------------------- entity imotor_module_tb is end entity imotor_module_tb; ------------------------------------------------------------------------------- architecture behavourial of imotor_module_tb is -- component generics constant BASE_ADDRESS : positive := 16#0100#; constant MOTORS : positive := 2; -- Component ports signal tx_out : std_logic_vector(MOTORS-1 downto 0); signal rx_in : std_logic_vector(MOTORS-1 downto 0) := (others => '1'); signal rx_in_can : std_logic_vector(MOTORS-1 downto 0); -- simulated signal on the CAN link signal tx_busy_s : std_logic; signal tx_data_s : std_logic_vector(7 downto 0) := (others => '0'); signal tx_empty_s : std_logic := '1'; signal tx_re_s : std_logic; signal clk_tx_s : std_logic := '0'; signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type := (addr => (others => '0'), data => (others => '0'), we => '0', re => '0'); -- clock signal clk : std_logic := '1'; begin -- architecture behavourial -- component instantiation -- MUT imotor_module_1 : entity work.imotor_module generic map ( BASE_ADDRESS => BASE_ADDRESS, DATA_WORDS_READ => 3, DATA_WORDS_SEND => 2, MOTORS => MOTORS) port map ( tx_out_p => tx_out, rx_in_p => rx_in_can, bus_o => bus_o, bus_i => bus_i, clk => clk); -- Simulates the answer of an iMotor uart_tx_1 : entity work.uart_tx port map ( txd_p => rx_in(0), busy_p => tx_busy_s, data_p => tx_data_s, empty_p => tx_empty_s, re_p => tx_re_s, clk_tx_en => clk_tx_s, clk => clk); -- clock generation 50 MHz clk <= not clk after 10 ns; -- Generate a Tx bit clock bitclock : process begin wait until rising_edge(clk); clk_tx_s <= '1'; wait until rising_edge(clk); clk_tx_s <= '0'; wait for 970 ns; end process bitclock; -- CAN simulation can_sim : for ii in 0 to MOTORS-1 generate rx_in_can(ii) <= '0' when rx_in(ii) = '0' or tx_out(ii) = '0' else '1'; end generate can_sim; -- waveform generation WaveGen_Proc : process begin wait until clk = '1'; -- Fill registers at simulation start -- iMotor #0, PWM writeWord(addr => 16#0100#, data => 16#2211#, bus_i => bus_i, clk => clk); -- iMotor #0, CUR writeWord(addr => 16#0101#, data => 16#4433#, bus_i => bus_i, clk => clk); -- iMotor #1, PWM writeWord(addr => 16#0102#, data => 16#6655#, bus_i => bus_i, clk => clk); -- iMotor #1, CUR writeWord(addr => 16#0103#, data => 16#8877#, bus_i => bus_i, clk => clk); wait for 300 us; tx_data_s <= x"51"; tx_empty_s <= '0'; wait until falling_edge(tx_re_s); tx_empty_s <= '1'; tx_data_s <= x"aa"; tx_empty_s <= '0'; wait until falling_edge(tx_re_s); tx_empty_s <= '1'; tx_data_s <= x"bb"; tx_empty_s <= '0'; wait until falling_edge(tx_re_s); tx_empty_s <= '1'; tx_data_s <= x"cc"; tx_empty_s <= '0'; wait until falling_edge(tx_re_s); tx_empty_s <= '1'; tx_data_s <= x"dd"; tx_empty_s <= '0'; wait until falling_edge(tx_re_s); tx_empty_s <= '1'; tx_data_s <= x"a1"; tx_empty_s <= '0'; wait until falling_edge(tx_re_s); tx_empty_s <= '1'; wait; end process WaveGen_Proc; end architecture behavourial;
bsd-3-clause
loa-org/loa-hdl
modules/fifo_sync/tb/fifo_sync_tb.vhd
2
2816
------------------------------------------------------------------------------- -- Title : Synchronous FIFO Testbench ------------------------------------------------------------------------------- -- Author : Carl Treudler ([email protected]) -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: A very plain FIFO, synchronous interfaces. ------------------------------------------------------------------------------- -- Copyright (c) 2013, Carl Treudler -- All Rights Reserved. -- -- The file is part for the Loa project and is released under the -- 3-clause BSD license. See the file `LICENSE` for the full license -- governing this code. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.fifo_sync_pkg.all; ------------------------------------------------------------------------------- entity fifo_sync_tb is end entity fifo_sync_tb; ------------------------------------------------------------------------------- architecture tb of fifo_sync_tb is use work.fifo_sync_pkg.all; -- component ports constant data_width : natural := 8; constant address_width : natural := 4; signal di : std_logic_vector(data_width -1 downto 0); signal wr : std_logic := '0'; signal full : std_logic; signal do : std_logic_vector(data_width -1 downto 0); signal rd : std_logic := '0'; signal empty : std_logic; signal r : std_logic := '0'; signal w : std_logic := '0'; -- clock signal Clk : std_logic := '1'; begin -- architecture behavourial -- component instantiation DUT : fifo_sync generic map ( data_width => data_width, address_width => address_width) port map ( di => di, wr => wr, full => full, do => do, rd => rd, empty => empty, valid => open, clk => clk); -- clock generation clk <= not clk after 10 ns; -- waveform generation process variable n : integer := 0; begin wait until Clk = '1'; if w = '1' and full = '0' then wr <= '1'; di <= std_logic_vector(to_unsigned(n, 8)); n := n+1; else wr <= '0'; end if; end process; process variable n : integer := 0; begin wait until Clk = '1'; if empty = '0' and r = '1' then rd <= '1'; else rd <= '0'; end if; end process; process begin w <= '1'; wait for 300 ns; w <= '0'; wait for 100 ns; r <= '1'; wait for 50 ns; r <= '0'; wait for 100 ns; end process; end architecture tb; configuration fifo_sync_tb_cfg of fifo_sync_tb is for tb end for; end fifo_sync_tb_cfg;
bsd-3-clause
loa-org/loa-hdl
modules/dds/tb/dds_module_tb.vhd
1
4280
------------------------------------------------------------------------------- -- Title : Testbench for design "dds_module" ------------------------------------------------------------------------------- -- Author : Carl Treudler -- Standard : ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2011 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.dds_module_pkg.all; use work.bus_pkg.all; use work.reset_pkg.all; ------------------------------------------------------------------------------- entity dds_module_tb is end dds_module_tb; ------------------------------------------------------------------------------- architecture tb of dds_module_tb is -- component generics constant BASE_ADDRESS : positive := 16#400#; -- component ports signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type := (addr => (others => '0'), data => (others => '0'), we => '0', re => '0'); signal dout : std_logic_vector(15 downto 0); signal reset : std_logic; signal clk : std_logic := '1'; begin dds_module_1 : entity work.dds_module generic map ( BASE_ADDRESS => BASE_ADDRESS, RESET_IMPL => none) port map ( bus_o => bus_o, bus_i => bus_i, dout => dout, reset => reset, clk => clk); -- clock generation clk <= not clk after 10 ns; -- reset generation reset <= '1', '0' after 50 ns; waveform : process begin wait until falling_edge(reset); wait for 20 us; --------------------------------------------------------------------------- -- load some data into waveform ram --------------------------------------------------------------------------- wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#400#, 15)); bus_i.data <= x"0123"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#401#, 15)); bus_i.data <= x"0124"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#402#, 15)); bus_i.data <= x"0125"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait for 1 us; --------------------------------------------------------------------------- -- Set Phase increment --------------------------------------------------------------------------- wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#801#, 15)); bus_i.data <= x"0001"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#802#, 15)); bus_i.data <= x"0020"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; --------------------------------------------------------------------------- -- Set Phase -- 0° --------------------------------------------------------------------------- wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#803#, 15)); bus_i.data <= x"0000"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#804#, 15)); bus_i.data <= x"0000"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; --------------------------------------------------------------------------- -- set Control Register --------------------------------------------------------------------------- wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#800#, 15)); bus_i.data <= x"0002"; -- load accu0 bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(to_unsigned(16#800#, 15)); bus_i.data <= x"0001"; -- enable nco0 bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait for 30 us; end process waveform; end tb;
bsd-3-clause
loa-org/loa-hdl
modules/uss_tx/hdl/serialiser.vhd
2
3016
------------------------------------------------------------------------------- -- Title : Modulator ------------------------------------------------------------------------------- -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2013 strongly-typed ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity serialiser is generic ( BITPATTERN_WIDTH : positive := 32 ); port ( pattern_in_p : in std_logic_vector(BITPATTERN_WIDTH - 1 downto 0); bitstream_out_p : out std_logic; clk_bit : in std_logic; clk : in std_logic ); end serialiser; ------------------------------------------------------------------------------- architecture behavioural of serialiser is type serialiser_type is record counter : integer range 0 to BITPATTERN_WIDTH; end record; ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal r, rin : serialiser_type := (counter => 0); ----------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------- -- None here. If any: in package begin -- architecture behavourial ---------------------------------------------------------------------------- -- Connections between ports and signals ---------------------------------------------------------------------------- bitstream_out_p <= pattern_in_p(r.counter); ---------------------------------------------------------------------------- -- Sequential part of finite state machine (FSM) ---------------------------------------------------------------------------- seq_proc : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process seq_proc; ---------------------------------------------------------------------------- -- Combinatorial part of FSM ---------------------------------------------------------------------------- comb_proc : process(clk_bit, r) variable v : serialiser_type; begin v := r; if clk_bit = '1' then v.counter := v.counter + 1; if v.counter = BITPATTERN_WIDTH then v.counter := 0; end if; end if; rin <= v; end process comb_proc; ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- -- None. end behavioural;
bsd-3-clause
loa-org/loa-hdl
modules/dds/hdl/dds_module_pkg.vhd
1
1255
------------------------------------------------------------------------------- -- Title : Direct digital synhtesis module ------------------------------------------------------------------------------- -- Author : [email protected] ------------------------------------------------------------------------------- -- Created : 2015-08-24 ------------------------------------------------------------------------------- -- Copyright (c) 2015, Carl Treudler -- All Rights Reserved. -- -- The file is part for the Loa project and is released under the -- 3-clause BSD license. See the file `LICENSE` for the full license -- governing this code. ------------------------------------------------------------------------------- library work; use work.bus_pkg.all; use work.reset_pkg.all; library ieee; use ieee.std_logic_1164.all; package dds_module_pkg is component dds_module is generic ( BASE_ADDRESS : integer range 0 to 2**15-1; RESET_IMPL : reset_type := none); port ( bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; dout : out std_logic_vector(15 downto 0); reset : in std_logic; clk : in std_logic); end component dds_module; end package dds_module_pkg;
bsd-3-clause
loa-org/loa-hdl
modules/spislave/tb/spi_slave_tb.vhd
2
3411
------------------------------------------------------------------------------- -- Title : Testbench for design "spi_slave" ------------------------------------------------------------------------------- -- Author : [email protected] ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2011 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.spislave_pkg.all; use work.bus_pkg.all; ------------------------------------------------------------------------------- entity spi_slave_tb is end spi_slave_tb; ------------------------------------------------------------------------------- architecture tb of spi_slave_tb is -- component ports signal mosi : std_logic; signal miso : std_logic; signal sck : std_logic; signal csn : std_logic; signal clk : std_logic := '1'; signal bus_o : busmaster_out_type; signal bus_i : busmaster_in_type; signal bus_data : unsigned(15 downto 0) := (others => '0'); signal debug_addr : std_logic_vector(14 downto 0); signal debug_data : std_logic_vector(15 downto 0); begin -- tb DUT : spi_slave port map ( --ireg => open, --bit_cnt => open, miso_p => miso, mosi_p => mosi, sck_p => sck, csn_p => csn, bus_o => bus_o, bus_i => bus_i, clk => clk); -- clock generation Clk <= not Clk after 5.0 ns; -- Change the bus data to find out when exactly the bus is sampled process (clk) is begin -- process if rising_edge(clk) then -- rising clock edge bus_i.data <= std_logic_vector(bus_data); bus_data <= bus_data + 1; end if; end process; process variable d : std_logic_vector(31 downto 0); begin debug_addr <= std_logic_vector(to_unsigned(16#0ff#, 15)); debug_data <= x"fe35"; -- read access to addr 0x7000 with 0x0000 as dummy data. spiReadWord(addr => 16#7000#, sck => sck, mosi => mosi, cs_n => csn, clk => clk); -- write access to addr 0x00ff with data 0xfe35 spiWriteWord(addr => debug_addr, data => debug_data, sck => sck, mosi => mosi, cs_n => csn, clk => clk); -- wait for 1 us; d := X"8209" & X"cd43"; -- start csn <= '1'; sck <= '0'; mosi <= '0'; wait for 250 ns; csn <= '0'; for i in 31 downto 0 loop sck <= '0'; mosi <= d(i); wait for 250 ns; sck <= '1'; wait for 250 ns; end loop; -- i -- no pause between two transfers: if false then sck <= '0'; wait for 250 ns; csn <= '1'; mosi <= 'Z'; wait for 250 ns; csn <= '0'; wait for 250 ns; end if; -- write access to addr 0xf0f with data 0x1234 d := X"8f0f" & X"1234"; for i in 31 downto 0 loop sck <= '0'; mosi <= d(i); wait for 250 ns; sck <= '1'; wait for 250 ns; end loop; -- i sck <= '0'; wait for 250 ns; csn <= '1'; mosi <= 'Z'; end process; end tb;
bsd-3-clause
loa-org/loa-hdl
modules/peripheral_register/tb/reg_file_tb.vhd
2
3324
------------------------------------------------------------------------------- -- Title : Testbench for design "reg_file" ------------------------------------------------------------------------------- -- Author : Calle <calle@Alukiste> -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2012 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library work; use work.bus_pkg.all; use work.reg_file_pkg.all; ------------------------------------------------------------------------------- entity reg_file_tb is end reg_file_tb; ------------------------------------------------------------------------------- architecture tb of reg_file_tb is -- component generics constant BASE_ADDRESS : integer range 0 to 16#7FFF# := 16#0010#; constant REG_ADDR_BIT : natural := 1; -- component ports signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type := (addr => (others => '0'), data => (others => '0'), we => '0', re => '0'); signal reg_o : reg_file_type(2**REG_ADDR_BIT-1 downto 0); signal reg_i : reg_file_type(2**REG_ADDR_BIT-1 downto 0); -- clock signal clk : std_logic := '1'; type comment_type is (idle, write, read); signal comment : comment_type := idle; begin -- tb -- component instantiation DUT : reg_file generic map ( BASE_ADDRESS => BASE_ADDRESS, REG_ADDR_BIT => REG_ADDR_BIT) port map ( bus_o => bus_o, bus_i => bus_i, reg_o => reg_o, reg_i => reg_i, clk => clk); -- clock generation clk <= not clk after 10 ns; -- waveform generation WaveGen_Proc : process begin -- Reset reg_i <= (others => (others => '0')); reg_i(0)(3 downto 0) <= "0001"; reg_i(1)(3 downto 0) <= "0010"; bus_i.addr <= (others => '0'); bus_i.data <= (others => '0'); bus_i.re <= '0'; bus_i.we <= '0'; wait until Clk = '1'; comment <= write; writeWord(addr => 16#0010#, data => 16#0055#, bus_i => bus_i, clk => clk); wait until Clk = '1'; wait until Clk = '1'; writeWord(addr => 16#0011#, data => 16#005f#, bus_i => bus_i, clk => clk); wait until Clk = '1'; wait until Clk = '1'; -- read the registers -- expected data is the input to the register_file reg_i(0) and reg_i(1) comment <= read; readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk); readWord(addr => BASE_ADDRESS + 1, bus_i => bus_i, clk => clk); -- do the same reads, but the DUT shouldn't react -- bus data should be 0000 readWord(addr => BASE_ADDRESS + 2, bus_i => bus_i, clk => clk); -- read from correct address again readWord(addr => BASE_ADDRESS + 1, bus_i => bus_i, clk => clk); wait for 1000 ns; end process WaveGen_Proc; end tb; -------------------------------------------------------------------------------
bsd-3-clause
loa-org/loa-hdl
modules/onewire/hdl/onewire_pkg.vhd
1
1627
------------------------------------------------------------------------------- -- Title : Onewire Master Configuration Package ------------------------------------------------------------------------------- -- Author : [email protected] ------------------------------------------------------------------------------- -- Created : 2014-12-13 ------------------------------------------------------------------------------- -- Copyright (c) 2014, Carl Treudler -- All Rights Reserved. -- -- The file is part for the Loa project and is released under the -- 3-clause BSD license. See the file `LICENSE` for the full license -- governing this code. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package onewire_pkg is type onewire_out_type is record d : std_logic_vector(7 downto 0); busy : std_logic; err : std_logic; end record; type onewire_in_type is record d : std_logic_vector(7 downto 0); re : std_logic; we : std_logic; reset_bus : std_logic; end record; type onewire_bus_out_type is record d : std_logic; en_driver : std_logic; end record; type onewire_bus_in_type is record d : std_logic; end record; component onewire port ( onewire_in : in onewire_in_type; onewire_out : out onewire_out_type; onewire_bus_in : in onewire_bus_in_type; onewire_bus_out : out onewire_bus_out_type; clk : in std_logic); end component; end onewire_pkg;
bsd-3-clause
loa-org/loa-hdl
modules/utils/hdl/utils_pkg.vhd
1
5036
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; package utils_pkg is -- Calculates the number of bits required to encode the given number -- -- Note that this function is not intended to synthesize directly into -- hardware, rather it is used to generate constants for synthesized -- hardware. -- -- Example: -- entity foo is -- generic( -- ABC : positive); -- port( -- xzy : out std_logic_vector(required_bits(ABC) downto 0)); -- end foo; function required_bits (value : natural) return natural; -- Another function which does the same, up to 32 bits function log2 (val : integer) return natural; ---------------------------------------------------------------------------- function max(L : integer; R : integer) return integer; function minn(L : integer; R : integer) return integer; ---------------------------------------------------------------------------- -- replacement for std_logic_arith -- works with unsigneds -- see http://www.lothar-miller.de/s9y/archives/14-Numeric_Std.html function conv_integer( vec : std_logic_vector) return integer; function conv_std_logic_vector ( int : natural; len : natural) return std_logic_vector; ---------------------------------------------------------------------------- component clock_divider is generic ( DIV : positive); port ( clk_out_p : out std_logic; clk : in std_logic); end component; -- Requires MUL <= DIV component fractional_clock_divider is generic ( DIV : positive; MUL : positive; WIDTH : positive := 16); port ( clk_out_p : out std_logic; clk : in std_logic); end component fractional_clock_divider; -- Requires mul <= div component fractional_clock_divider_variable is generic ( WIDTH : positive); port ( div : in std_logic_vector(WIDTH-1 downto 0); mul : in std_logic_vector(WIDTH-1 downto 0); clk_out_p : out std_logic; clk : in std_logic); end component fractional_clock_divider_variable; ---------------------------------------------------------------------------- component event_hold_stage is port ( dout_p : out std_logic; din_p : in std_logic; period_p : in std_logic; clk : in std_logic); end component event_hold_stage; component edge_detect is port ( async_sig : in std_logic; clk : in std_logic; rise : out std_logic; fall : out std_logic); end component edge_detect; ---------------------------------------------------------------------------- component dff is port ( dout_p : out std_logic; din_p : in std_logic; set_p : in std_logic; reset_p : in std_logic; ce_p : in std_logic; clk : in std_logic); end component dff; end package utils_pkg; package body utils_pkg is function required_bits (value : natural) return natural is begin if value <= 0 then return 0; elsif value = 1 then return 1; elsif value < 8 then return integer(ceil(log2(real(value)))); else -- FIXME: Why is this hack necessary? -- Otherwise the values for 2**x (x >= 3) are calculated wrong. -- E.g.: -- required_bits(8) = 3 != 4 -- required_bits(16) = 4 != 5 -- see ../tb/utils_tb.vhd return integer(ceil(log2(real(value) + 0.5))); end if; end function; function log2 (val : integer) return natural is variable res : positive; begin -- log2 for i in 1 to 31 loop if (val <= (2**i)) then res := i; exit; end if; end loop; -- i return res; end log2; ---------------------------------------------------------------------------- function max(L : integer; R : integer) return integer is begin -- max if L > R then return L; else return R; end if; end max; function minn(L : integer; R : integer) return integer is begin -- min if L < R then return L; else return R; end if; end minn; ---------------------------------------------------------------------------- function conv_integer( vec : std_logic_vector) return integer is begin return to_integer(unsigned(vec)); end conv_integer; function conv_std_logic_vector ( int : natural; len : natural) return std_logic_vector is begin -- conv_std_logic_vector return std_logic_vector(to_unsigned(int, len)); end conv_std_logic_vector; end package body utils_pkg;
bsd-3-clause
loa-org/loa-hdl
modules/ir_rx/tb/ir_rx_module_timestamp_tb.vhd
2
3560
------------------------------------------------------------------------------- -- Title : Testbench for design "ir_rx_module" with timestamps ------------------------------------------------------------------------------ -- File : ir_rx_module_timestamp_tb.vhd -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2012 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.utils_pkg.all; use work.adc_ltc2351_pkg.all; use work.ir_rx_module_pkg.all; use work.signalprocessing_pkg.all; ------------------------------------------------------------------------------- entity ir_rx_module_timestamp_tb is end ir_rx_module_timestamp_tb; ------------------------------------------------------------------------------- architecture tb of ir_rx_module_timestamp_tb is -- component generics constant BASE_ADDRESS_RESULTS : integer := 16#0800#; constant BASE_ADDRESS_COEFS : integer := 16#0010#; constant BASE_ADDRESS_TIMESTAMP : integer := 16#0100#; constant TIMESTAMP_WIDTH : natural := 48; -- component ports signal adc_out_p : ir_rx_module_spi_out_type; signal adc_in_p : ir_rx_module_spi_in_type := (others => (others => '0')); signal sync_p : std_logic := '0'; signal bus_o : busdevice_out_type := (data => (others => '0')); signal bus_i : busdevice_in_type := (addr => (others => '0'), data => (others => '0'), we => '0', re => '0'); signal done_p : std_logic := '0'; signal ack_p : std_logic := '0'; signal clk_sample_en : std_logic := '0'; -- timestamp signal timestamp_s : timestamp_type; -- clock signal clk : std_logic := '1'; begin -- tb ir_rx_module_1 : entity work.ir_rx_module generic map ( BASE_ADDRESS_COEFS => BASE_ADDRESS_COEFS, BASE_ADDRESS_RESULTS => BASE_ADDRESS_RESULTS, BASE_ADDRESS_TIMESTAMP => BASE_ADDRESS_TIMESTAMP, SAMPLES => 10) port map ( adc_o_p => adc_out_p, adc_i_p => adc_in_p, adc_values_o_p => open, sync_o_p => sync_p, bus_o_p => bus_o, bus_i_p => bus_i, done_o_p => done_p, ack_i_p => ack_p, clk_sample_en_i_p => clk_sample_en, timestamp_i_p => timestamp_s, clk => clk); timestamp_1 : entity work.timestamp_generator port map ( timestamp_o_p => timestamp_s, clk => clk); -- clock generation clk <= not clk after 10 ns; -- Trigger ADC conversions WaveGen_Proc : process begin wait until clk = '1'; if done_p = '0' then clk_sample_en <= '1'; end if; wait until clk = '1'; clk_sample_en <= '0'; wait for 7 us; end process WaveGen_Proc; -- Acknowledge if the module is finished ack_proc : process begin -- process ack_proc wait until done_p = '1'; wait for 5 us; ack_p <= '1'; wait for 1 us; ack_p <= '0'; end process ack_proc; end tb;
bsd-3-clause
loa-org/loa-hdl
modules/signalprocessing/tb/io_test.vhd
2
1619
------------------------------------------------------------------------------- -- Title : Testbench for integer-to-real conversion ------------------------------------------------------------------------------- -- Author : strongly-typed -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Learning VHDL io. ------------------------------------------------------------------------------- -- Copyright (c) 2012 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity io_test is end entity io_test; architecture tb of io_test is signal clk : std_logic := '0'; signal s0 : std_logic_vector(15 downto 0) := (others => '0'); signal s1 : signed(15 downto 0) := (others => '0'); signal s2 : integer := 0; signal s3 : real := 0.0; type IntegerFileType is file of integer; begin -- architecture tb -- clock gen clk <= not clk after 1 ms; process (clk) is variable cnt : integer := 0; file data_out : IntegerFileType open write_mode is "my_file.bin"; variable fstatus : file_open_status; begin -- process if rising_edge(clk) then -- rising clock edge s0 <= std_logic_vector(to_unsigned(cnt, 16)); write(data_out, s2); cnt := cnt + 1; end if; end process; s1 <= signed(s0); s2 <= to_integer(s1); s3 <= real(s2); end architecture tb;
bsd-3-clause
loa-org/loa-hdl
modules/utils/tb/event_hold_stage_tb.vhd
2
1172
library ieee; use ieee.std_logic_1164.all; use work.utils_pkg.all; entity event_hold_stage_tb is end event_hold_stage_tb; architecture tb of event_hold_stage_tb is signal dout : std_logic := '0'; signal din : std_logic := '0'; signal period : std_logic := '0'; signal clk : std_logic := '0'; begin clk <= not clk after 10 NS; -- 50 Mhz clock uut : event_hold_stage port map ( dout_p => dout, din_p => din, period_p => period, clk => clk); process begin wait for 10 NS; din <= '1'; wait for 20 NS; din <= '0'; wait for 100 NS; period <= '1'; wait for 20 NS; period <= '0'; wait for 100 NS; period <= '1'; wait for 20 NS; period <= '0'; wait for 100 NS; period <= '1'; din <= '1'; wait for 20 NS; period <= '0'; din <= '0'; wait for 100 NS; period <= '1'; wait for 20 NS; period <= '0'; wait for 100 NS; period <= '1'; wait for 20 NS; period <= '0'; wait for 100 US; end process; end tb;
bsd-3-clause
loa-org/loa-hdl
modules/signalprocessing/tb/goertzel_control_unit_tb.vhd
2
2850
------------------------------------------------------------------------------- -- Title : Testbench for design "goertzel_control_unit" ------------------------------------------------------------------------------- -- Author : strongly-typed -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2012 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.signalprocessing_pkg.all; ------------------------------------------------------------------------------- entity goertzel_control_unit_tb is end entity goertzel_control_unit_tb; ------------------------------------------------------------------------------- architecture tb of goertzel_control_unit_tb is -- component generics constant SAMPLES : positive := 5; constant FREQUENCIES : positive := 2; constant CHANNELS : positive := 3; -- component ports signal start_p : std_logic := '0'; signal ready_p : std_logic := '0'; signal bram_addr : std_logic_vector(7 downto 0) := (others => '0'); signal bram_we : std_logic := '0'; signal mux_delay1 : std_logic := '0'; signal mux_delay2 : std_logic := '0'; signal mux_coef : natural range FREQUENCIES-1 downto 0; signal mux_input : natural range CHANNELS-1 downto 0; -- clock signal clk : std_logic := '1'; begin -- architecture tb -- component instantiation DUT : entity work.goertzel_control_unit generic map ( SAMPLES => SAMPLES, FREQUENCIES => FREQUENCIES, CHANNELS => CHANNELS) port map ( start_p => start_p, ready_p => ready_p, bram_addr_p => bram_addr, bram_we_p => bram_we, mux_delay1_p => mux_delay1, mux_delay2_p => mux_delay2, mux_coef_p => mux_coef, mux_input_p => mux_input, clk => clk); -- clock generation clk <= not clk after 10 ns; -- waveform generation WaveGen_Proc : process begin -- some delay wait until clk = '0'; wait until clk = '0'; wait until clk = '0'; wait until clk = '0'; -- New 12 new samples from ADCs received: start control unit! start_p <= '1'; wait until clk = '0'; start_p <= '0'; -- wait until all samples for all frequencies are processed and the -- address counter was reset to 0 wait until bram_addr = "00000000"; -- restart end process WaveGen_Proc; end architecture tb;
bsd-3-clause
WigWagCo/ace
demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
bsd-3-clause
mkreider/cocotb2
examples/wb/hdl/cocotb_wb_loopback.vhd
1
2571
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.wishbone_pkg.all; use work.genram_pkg.all; entity cocotb_wb_loopback is port ( clk: in std_logic; reset_n : in std_logic; clk2 : in std_logic; reset_n2 : in std_logic; wbm_cyc : in std_logic; wbm_stb : in std_logic; wbm_we : in std_logic; wbm_sel : in std_logic_vector(3 downto 0); wbm_adr : in std_logic_vector(31 downto 0); wbm_datrd : out std_logic_vector(31 downto 0); wbm_datwr : in std_logic_vector(31 downto 0); wbm_stall: out std_logic; wbm_ack: out std_logic; wbm_err: out std_logic; wbmo_cyc : out std_logic; wbmo_stb : out std_logic; wbmo_we : out std_logic; wbmo_sel : out std_logic_vector(3 downto 0); wbmo_adr : out std_logic_vector(31 downto 0); wbmo_datrd : in std_logic_vector(31 downto 0); wbmo_datwr : out std_logic_vector(31 downto 0); wbmo_err: in std_logic; wbmo_stall: in std_logic; wbmo_ack: in std_logic ); end entity; architecture rtl of cocotb_wb_loopback is signal s_master_in : t_wishbone_master_in; signal s_master_out : t_wishbone_master_out; signal reg : std_logic_vector(31 downto 0); begin s_master_out.we <= wbm_we; s_master_out.stb <= wbm_stb; s_master_out.dat <= wbm_datwr; s_master_out.adr <= wbm_adr; s_master_out.sel <= x"f"; s_master_out.cyc <= wbm_cyc; -- s_master_in.dat <= reg; wbm_datrd <= s_master_in.dat; wbm_ack <= s_master_in.ack; wbm_stall <= s_master_in.stall; wbm_err <= s_master_in.err; wbmo_we <= s_master_out.we; wbmo_stb <= s_master_out.stb; wbmo_datwr <= s_master_out.dat; wbmo_adr <= s_master_out.adr; wbmo_sel <= s_master_out.sel; wbmo_cyc <= s_master_out.cyc; s_master_in.dat <= wbmo_datrd; s_master_in.ack <= wbmo_ack; s_master_in.err <= wbmo_err; s_master_in.stall <= wbmo_stall; -- main : process(clk) -- begin -- if(rising_edge(clk)) then -- if(reset_n = '0') then -- s_master_in.stall <= '0'; -- s_master_in.ack <= '0'; -- s_master_in.err <= '0'; -- reg <= (others => '0'); -- else -- s_master_in.ack <= '0'; -- if((s_master_out.cyc and s_master_out.stb and not s_master_in.stall) = '1') then -- if(s_master_out.we = '1') then -- reg <= s_master_out.dat; -- end if; -- s_master_in.ack <= '1'; -- end if; -- end if; -- end if; -- end process; end architecture;
bsd-3-clause
mkreider/cocotb2
tests/designs/viterbi_decoder_axi4s/packages/pkg_param.vhd
7
2076
--! --! Copyright (C) 2011 - 2014 Creonic GmbH --! --! This file is part of the Creonic Viterbi Decoder, which is distributed --! under the terms of the GNU General Public License version 2. --! --! @file --! @brief Parameters --! @author Markus Fehrenz --! @date 2011/07/01 --! --! @details This is the configuration file of the Viterbi decoder. --! Any changes for parameters should be done here. --! Changing parameters somewhere else may result in a malicious --! behavior. --! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pkg_param is ----------------------------------- -- Convolutional Code Parameters -- ----------------------------------- -- -- Set the number of parity values -- This has to correspond to PARITY_POLYNOMIALS -- constant NUMBER_PARITY_BITS : natural := 2; type t_parity is array (NUMBER_PARITY_BITS - 1 downto 0) of natural; -- -- Set parity polynoms in decimal notation -- NUMBER_PARITY_BITS has to correspond to the number of elements -- Examples: WiFi : [121,91] or [121,91,101] -- CDMA : [491,369] or [367,435,369] or [501,441,331,315] -- GSM : [27,19] or [27,21,31] -- DAB : [91,121,101,91] -- WiMAX: [91,121,117] -- constant PARITY_POLYNOMIALS : t_parity := (121,91); -- -- Set a recursive polynomial -- Set to 0 if no recursion is used -- Setting this arbitrary may result in a worse error correction ability -- constant FEEDBACK_POLYNOMIAL : natural := 0; ----------------------------- -- Architecture Parameters -- ----------------------------- -- -- Set bit width of LLR input -- Recommended values: 3 or 4 -- constant BW_LLR_INPUT : natural := 4; -- -- Set the maximum window length which shall be allowed at runtime. -- Recommended: at least 6 * constraint length -- constant MAX_WINDOW_LENGTH : natural := 96; -- -- Set to 'true' if distributed RAM shall be used -- Set to 'false' if block RAM shall be used -- constant DISTRIBUTED_RAM : boolean := true; end package pkg_param;
bsd-3-clause
gujiman/ace-builds
demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
bsd-3-clause
INTI-CMNB-FPGA/fpga_lib
vhdl/verif/transloop.vhdl
1
5990
-- -- Transceiver Loop -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (c) 2016-2017 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library FPGALIB; use FPGALIB.verif.all; entity TransLoop is generic ( DBYTES : positive:=4; -- Data bytes TSIZE : positive:=1e4; -- Total size FSIZE : positive:=2048 -- Frame size ); port ( -- TX side tx_clk_i : in std_logic; tx_rst_i : in std_logic; tx_data_i : in std_logic_vector(DBYTES*8-1 downto 0); tx_data_o : out std_logic_vector(DBYTES*8-1 downto 0); tx_isk_o : out std_logic_vector(DBYTES-1 downto 0); tx_ready_i : in std_logic; -- RX side rx_clk_i : in std_logic; rx_rst_i : in std_logic; rx_data_i : in std_logic_vector(DBYTES*8-1 downto 0); rx_isk_i : in std_logic_vector(DBYTES-1 downto 0); rx_errors_o : out std_logic_vector(4 downto 0); rx_finish_o : out std_logic; rx_cycles_o : out std_logic_vector(31 downto 0) ); end entity TransLoop; architecture RTL of TransLoop is constant K28_5 : std_logic_vector(7 downto 0):=x"BC"; constant K28_1 : std_logic_vector(7 downto 0):=x"3C"; constant DWIDTH : positive:=DBYTES*8; signal rx_data, tx_data : std_logic_vector(DWIDTH-1 downto 0); signal rx_stb, tx_stb : std_logic; signal rx_cnt, tx_cnt : unsigned(DWIDTH-1 downto 0); signal rx_cycles : unsigned(31 downto 0); type state_t is (IDLE, STROBE, ACK, TRANSFER, GAP, FINISH); signal tx_state, rx_state : state_t:=IDLE; function repeat (value: std_logic_vector; num: positive) return std_logic_vector is variable retval : std_logic_vector(num*8-1 downto 0); begin for i in 1 to num loop retval(i*8-1 downto (i-1)*8):=value; end loop; return retval; end repeat; constant tied_to_vcc : std_logic_vector(DWIDTH-1 downto 0):=(others => '1'); constant tied_to_gnd : std_logic_vector(DWIDTH-1 downto 0):=(others => '0'); begin loop_i: LoopCheck generic map (DWIDTH => DWIDTH) port map( -- TX side tx_clk_i => tx_clk_i, tx_rst_i => tx_rst_i, tx_stb_i => tx_stb, tx_data_i => tx_data_i, tx_data_o => tx_data, -- RX side rx_clk_i => rx_clk_i, rx_rst_i => rx_rst_i, rx_stb_i => rx_stb, rx_data_i => rx_data, rx_errors_o => rx_errors_o ); tx_fsm: process(tx_clk_i) is begin if rising_edge(tx_clk_i) then if tx_rst_i='1' then tx_state <= IDLE; tx_isk_o <= (others => '0'); tx_data_o <= (others => '0'); tx_cnt <= (others => '0'); tx_stb <= '0'; else case tx_state is when IDLE => if tx_ready_i='1' then tx_state <= STROBE; end if; when STROBE => tx_isk_o <= (others => '1'); tx_data_o <= repeat(K28_5,DBYTES); tx_state <= ACK; when ACK => if rx_data_i=repeat(K28_5,DBYTES) and rx_isk_i=tied_to_vcc(DBYTES-1 downto 0) then tx_state <= TRANSFER; tx_stb <= '1'; end if; when TRANSFER => tx_isk_o <= (others => '0'); tx_data_o <= tx_data; tx_cnt <= tx_cnt+1; if tx_cnt=TSIZE-1 then tx_stb <= '0'; tx_state <= FINISH; elsif (tx_cnt mod FSIZE = FSIZE-1) then tx_state <= GAP; tx_stb <= '0'; end if; when GAP => tx_isk_o <= (others => '1'); tx_data_o <= repeat(K28_1,DBYTES); tx_state <= TRANSFER; tx_stb <= '1'; when FINISH => tx_isk_o <= (others => '1'); tx_data_o <= repeat(K28_5,DBYTES); when others => tx_state <= IDLE; end case; end if; end if; end process tx_fsm; rx_fsm: process(rx_clk_i) is begin if rising_edge(rx_clk_i) then rx_finish_o <= '0'; if rx_rst_i='1' then rx_state <= IDLE; rx_cnt <= (others => '0'); else case rx_state is when IDLE => if rx_data_i=repeat(K28_5,DBYTES) and rx_isk_i=tied_to_vcc(DBYTES-1 downto 0) then rx_state <= ACK; end if; when ACK => rx_state <= TRANSFER; rx_cycles <= (others => '0'); when TRANSFER => rx_cycles <= rx_cycles + 1; if rx_isk_i=tied_to_gnd(DBYTES-1 downto 0) then rx_data <= rx_data_i; rx_stb <= '1'; rx_cnt <= rx_cnt + 1; else rx_stb <= '0'; if rx_cnt>0 and rx_data_i=repeat(K28_5,DBYTES) then rx_state <= FINISH; rx_finish_o <= '1'; end if; end if; when FINISH => rx_finish_o <= '1'; when others => rx_state <= IDLE; end case; end if; end if; end process rx_fsm; rx_cycles_o <= std_logic_vector(rx_cycles); end architecture RTL;
bsd-3-clause
INTI-CMNB-FPGA/fpga_lib
vhdl/verif/testbench/transloop_tb.vhdl
1
1810
-- -- Transceiver Loop Testbench -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (c) 2016-2017 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; library FPGALIB; use FPGALIB.Verif.all; use FPGALIB.Simul.all; entity TransLoop_Tb is end entity TransLoop_Tb; architecture Test of TransLoop_Tb is constant FREQUENCY : positive:=150e6; signal clk, rst : std_logic; signal stop : boolean; -- dut signal ready : std_logic; signal data : std_logic_vector(31 downto 0); signal isk : std_logic_vector(3 downto 0); signal errors : std_logic_vector(4 downto 0); signal finish : std_logic; begin do_clk: Clock generic map(FREQUENCY => FREQUENCY) port map(clk_o => clk, rst_o => rst, stop_i => stop); dut: TransLoop port map( -- TX side tx_clk_i => clk, tx_rst_i => rst, tx_data_i => (others => '0'), tx_data_o => data, tx_isk_o => isk, tx_ready_i => ready, -- RX side rx_clk_i => clk, rx_rst_i => rst, rx_data_i => data, rx_isk_i => isk, rx_errors_o => errors, rx_finish_o => finish, rx_cycles_o => open ); do_run: process begin ready <= '0'; wait until rising_edge(clk) and rst='0'; ready <= '1'; wait until rising_edge(clk); ready <= '0'; wait until rising_edge(clk) and finish='1'; assert errors="00000" report "ERROR: there were errors ("&to_str(errors)&")." severity failure; stop <= TRUE; wait; end process do_run; end architecture Test;
bsd-3-clause
sonologic/gmzpu
vhdl/roms/dmips_dbram.vhdl
1
105913
------------------------------------------------------------------------------ ---- ---- ---- Dual Port RAM that maps to a Xilinx BRAM ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a program+data memory for the ZPU. It maps to a Xilinx BRAM ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: DualPortRAM(Xilinx) (Entity and architecture) ---- ---- File name: rom.in.vhdl (template used) ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity DualPortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; -- Port A a_we_i : in std_logic; a_addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); a_write_i : in unsigned(WORD_SIZE-1 downto 0); a_read_o : out unsigned(WORD_SIZE-1 downto 0); -- Port B b_we_i : in std_logic; b_addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); b_write_i : in unsigned(WORD_SIZE-1 downto 0); b_read_o : out unsigned(WORD_SIZE-1 downto 0)); end entity DualPortRAM; architecture Xilinx of DualPortRAM is type ram_type is array(natural range 0 to ((2**BRAM_W)/4)-1) of unsigned(WORD_SIZE-1 downto 0); shared variable ram : ram_type:= ( 0 => x"0b0b0b0b", 1 => x"82700b0b", 2 => x"80f8ec0c", 3 => x"3a0b0b80", 4 => x"e7ea0400", 5 => x"00000000", 6 => x"00000000", 7 => x"00000000", 8 => x"80088408", 9 => x"88080b0b", 10 => x"80e8b72d", 11 => x"880c840c", 12 => x"800c0400", 13 => x"00000000", 14 => x"00000000", 15 => x"00000000", 16 => x"71fd0608", 17 => x"72830609", 18 => x"81058205", 19 => x"832b2a83", 20 => x"ffff0652", 21 => x"04000000", 22 => x"00000000", 23 => x"00000000", 24 => x"71fd0608", 25 => x"83ffff73", 26 => x"83060981", 27 => x"05820583", 28 => x"2b2b0906", 29 => x"7383ffff", 30 => x"0b0b0b0b", 31 => x"83a70400", 32 => x"72098105", 33 => x"72057373", 34 => x"09060906", 35 => x"73097306", 36 => x"070a8106", 37 => x"53510400", 38 => x"00000000", 39 => x"00000000", 40 => x"72722473", 41 => x"732e0753", 42 => x"51040000", 43 => x"00000000", 44 => x"00000000", 45 => x"00000000", 46 => x"00000000", 47 => x"00000000", 48 => x"71737109", 49 => x"71068106", 50 => x"30720a10", 51 => x"0a720a10", 52 => x"0a31050a", 53 => x"81065151", 54 => x"53510400", 55 => x"00000000", 56 => x"72722673", 57 => x"732e0753", 58 => x"51040000", 59 => x"00000000", 60 => x"00000000", 61 => x"00000000", 62 => x"00000000", 63 => x"00000000", 64 => x"00000000", 65 => x"00000000", 66 => x"00000000", 67 => x"00000000", 68 => x"00000000", 69 => x"00000000", 70 => x"00000000", 71 => x"00000000", 72 => x"0b0b0b88", 73 => x"c4040000", 74 => x"00000000", 75 => x"00000000", 76 => x"00000000", 77 => x"00000000", 78 => x"00000000", 79 => x"00000000", 80 => x"720a722b", 81 => x"0a535104", 82 => x"00000000", 83 => x"00000000", 84 => x"00000000", 85 => x"00000000", 86 => x"00000000", 87 => x"00000000", 88 => x"72729f06", 89 => x"0981050b", 90 => x"0b0b88a7", 91 => x"05040000", 92 => x"00000000", 93 => x"00000000", 94 => x"00000000", 95 => x"00000000", 96 => x"72722aff", 97 => x"739f062a", 98 => x"0974090a", 99 => x"8106ff05", 100 => x"06075351", 101 => x"04000000", 102 => x"00000000", 103 => x"00000000", 104 => x"71715351", 105 => x"020d0406", 106 => x"73830609", 107 => x"81058205", 108 => x"832b0b2b", 109 => x"0772fc06", 110 => x"0c515104", 111 => x"00000000", 112 => x"72098105", 113 => x"72050970", 114 => x"81050906", 115 => x"0a810653", 116 => x"51040000", 117 => x"00000000", 118 => x"00000000", 119 => x"00000000", 120 => x"72098105", 121 => x"72050970", 122 => x"81050906", 123 => x"0a098106", 124 => x"53510400", 125 => x"00000000", 126 => x"00000000", 127 => x"00000000", 128 => x"71098105", 129 => x"52040000", 130 => x"00000000", 131 => x"00000000", 132 => x"00000000", 133 => x"00000000", 134 => x"00000000", 135 => x"00000000", 136 => x"72720981", 137 => x"05055351", 138 => x"04000000", 139 => x"00000000", 140 => x"00000000", 141 => x"00000000", 142 => x"00000000", 143 => x"00000000", 144 => x"72097206", 145 => x"73730906", 146 => x"07535104", 147 => x"00000000", 148 => x"00000000", 149 => x"00000000", 150 => x"00000000", 151 => x"00000000", 152 => x"71fc0608", 153 => x"72830609", 154 => x"81058305", 155 => x"1010102a", 156 => x"81ff0652", 157 => x"04000000", 158 => x"00000000", 159 => x"00000000", 160 => x"71fc0608", 161 => x"0b0b80f8", 162 => x"d8738306", 163 => x"10100508", 164 => x"060b0b0b", 165 => x"88aa0400", 166 => x"00000000", 167 => x"00000000", 168 => x"80088408", 169 => x"88087575", 170 => x"0b0b80ce", 171 => x"b62d5050", 172 => x"80085688", 173 => x"0c840c80", 174 => x"0c510400", 175 => x"00000000", 176 => x"80088408", 177 => x"88087575", 178 => x"0b0b80cf", 179 => x"e82d5050", 180 => x"80085688", 181 => x"0c840c80", 182 => x"0c510400", 183 => x"00000000", 184 => x"72097081", 185 => x"0509060a", 186 => x"8106ff05", 187 => x"70547106", 188 => x"73097274", 189 => x"05ff0506", 190 => x"07515151", 191 => x"04000000", 192 => x"72097081", 193 => x"0509060a", 194 => x"098106ff", 195 => x"05705471", 196 => x"06730972", 197 => x"7405ff05", 198 => x"06075151", 199 => x"51040000", 200 => x"05ff0504", 201 => x"00000000", 202 => x"00000000", 203 => x"00000000", 204 => x"00000000", 205 => x"00000000", 206 => x"00000000", 207 => x"00000000", 208 => x"810b0b0b", 209 => x"80f8e80c", 210 => x"51040000", 211 => x"00000000", 212 => x"00000000", 213 => x"00000000", 214 => x"00000000", 215 => x"00000000", 216 => x"71810552", 217 => x"04000000", 218 => x"00000000", 219 => x"00000000", 220 => x"00000000", 221 => x"00000000", 222 => x"00000000", 223 => x"00000000", 224 => x"00000000", 225 => x"00000000", 226 => x"00000000", 227 => x"00000000", 228 => x"00000000", 229 => x"00000000", 230 => x"00000000", 231 => x"00000000", 232 => x"02840572", 233 => x"10100552", 234 => x"04000000", 235 => x"00000000", 236 => x"00000000", 237 => x"00000000", 238 => x"00000000", 239 => x"00000000", 240 => x"00000000", 241 => x"00000000", 242 => x"00000000", 243 => x"00000000", 244 => x"00000000", 245 => x"00000000", 246 => x"00000000", 247 => x"00000000", 248 => x"717105ff", 249 => x"05715351", 250 => x"020d0400", 251 => x"00000000", 252 => x"00000000", 253 => x"00000000", 254 => x"00000000", 255 => x"00000000", 256 => x"83803f80", 257 => x"e2953f04", 258 => x"10101010", 259 => x"10101010", 260 => x"10101010", 261 => x"10101010", 262 => x"10101010", 263 => x"10101010", 264 => x"10101010", 265 => x"10101053", 266 => x"51047381", 267 => x"ff067383", 268 => x"06098105", 269 => x"83051010", 270 => x"102b0772", 271 => x"fc060c51", 272 => x"51043c04", 273 => x"72728072", 274 => x"8106ff05", 275 => x"09720605", 276 => x"71105272", 277 => x"0a100a53", 278 => x"72ed3851", 279 => x"51535104", 280 => x"ff3d0d0b", 281 => x"0b8188e0", 282 => x"08527108", 283 => x"70882a81", 284 => x"32708106", 285 => x"51515170", 286 => x"f1387372", 287 => x"0c833d0d", 288 => x"0480f8e8", 289 => x"08802ea4", 290 => x"3880f8ec", 291 => x"08822ebd", 292 => x"38838080", 293 => x"0b0b0b81", 294 => x"88e00c82", 295 => x"a0800b81", 296 => x"88e40c82", 297 => x"90800b81", 298 => x"88e80c04", 299 => x"f8808080", 300 => x"a40b0b0b", 301 => x"8188e00c", 302 => x"f8808082", 303 => x"800b8188", 304 => x"e40cf880", 305 => x"8084800b", 306 => x"8188e80c", 307 => x"0480c0a8", 308 => x"808c0b0b", 309 => x"0b8188e0", 310 => x"0c80c0a8", 311 => x"80940b81", 312 => x"88e40c0b", 313 => x"0b80eac8", 314 => x"0b8188e8", 315 => x"0c04f23d", 316 => x"0d608188", 317 => x"e408565d", 318 => x"82750c80", 319 => x"59805a80", 320 => x"0b8f3d5d", 321 => x"5b7a1010", 322 => x"15700871", 323 => x"08719f2c", 324 => x"7e852b58", 325 => x"55557d53", 326 => x"59579d94", 327 => x"3f7d7f7a", 328 => x"72077c72", 329 => x"07717160", 330 => x"8105415f", 331 => x"5d5b5957", 332 => x"55817b27", 333 => x"8f38767d", 334 => x"0c77841e", 335 => x"0c7c800c", 336 => x"903d0d04", 337 => x"8188e408", 338 => x"55ffba39", 339 => x"ff3d0d81", 340 => x"88ec3351", 341 => x"70a73880", 342 => x"f8f40870", 343 => x"08525270", 344 => x"802e9438", 345 => x"841280f8", 346 => x"f40c702d", 347 => x"80f8f408", 348 => x"70085252", 349 => x"70ee3881", 350 => x"0b8188ec", 351 => x"34833d0d", 352 => x"0404803d", 353 => x"0d0b0b81", 354 => x"88dc0880", 355 => x"2e8e380b", 356 => x"0b0b0b80", 357 => x"0b802e09", 358 => x"81068538", 359 => x"823d0d04", 360 => x"0b0b8188", 361 => x"dc510b0b", 362 => x"0bf4d53f", 363 => x"823d0d04", 364 => x"04ff3d0d", 365 => x"028f0533", 366 => x"52718a2e", 367 => x"8a387151", 368 => x"fd9e3f83", 369 => x"3d0d048d", 370 => x"51fd953f", 371 => x"7151fd90", 372 => x"3f833d0d", 373 => x"04ce3d0d", 374 => x"b53d7070", 375 => x"84055208", 376 => x"8bb15c56", 377 => x"a53d5e5c", 378 => x"80757081", 379 => x"05573376", 380 => x"5b555873", 381 => x"782e80c1", 382 => x"388e3d5b", 383 => x"73a52e09", 384 => x"810680c5", 385 => x"38787081", 386 => x"055a3354", 387 => x"7380e42e", 388 => x"81b63873", 389 => x"80e42480", 390 => x"c6387380", 391 => x"e32ea138", 392 => x"8052a551", 393 => x"792d8052", 394 => x"7351792d", 395 => x"82185878", 396 => x"7081055a", 397 => x"335473c4", 398 => x"3877800c", 399 => x"b43d0d04", 400 => x"7b841d83", 401 => x"1233565d", 402 => x"57805273", 403 => x"51792d81", 404 => x"18797081", 405 => x"055b3355", 406 => x"5873ffa0", 407 => x"38db3973", 408 => x"80f32e09", 409 => x"8106ffb8", 410 => x"387b841d", 411 => x"7108595d", 412 => x"56807733", 413 => x"55567376", 414 => x"2e8d3881", 415 => x"16701870", 416 => x"33575556", 417 => x"74f538ff", 418 => x"16558076", 419 => x"25ffa038", 420 => x"76708105", 421 => x"58335480", 422 => x"52735179", 423 => x"2d811875", 424 => x"ff175757", 425 => x"58807625", 426 => x"ff853876", 427 => x"70810558", 428 => x"33548052", 429 => x"7351792d", 430 => x"811875ff", 431 => x"17575758", 432 => x"758024cc", 433 => x"38fee839", 434 => x"7b841d71", 435 => x"0870719f", 436 => x"2c595359", 437 => x"5d568075", 438 => x"24819338", 439 => x"757d7c58", 440 => x"56548057", 441 => x"73772e09", 442 => x"8106b638", 443 => x"b07b3402", 444 => x"b505567a", 445 => x"762e9738", 446 => x"ff165675", 447 => x"33757081", 448 => x"05573481", 449 => x"17577a76", 450 => x"2e098106", 451 => x"eb388075", 452 => x"34767dff", 453 => x"12575856", 454 => x"758024fe", 455 => x"f338fe8f", 456 => x"398a5273", 457 => x"5180c1c0", 458 => x"3f800880", 459 => x"eacc0533", 460 => x"76708105", 461 => x"58348a52", 462 => x"7351bffa", 463 => x"3f800854", 464 => x"8008802e", 465 => x"ffad388a", 466 => x"52735180", 467 => x"c19a3f80", 468 => x"0880eacc", 469 => x"05337670", 470 => x"81055834", 471 => x"8a527351", 472 => x"bfd43f80", 473 => x"08548008", 474 => x"ffb738ff", 475 => x"86397452", 476 => x"7653b43d", 477 => x"ffb80551", 478 => x"978a3fa3", 479 => x"3d0856fe", 480 => x"db39803d", 481 => x"0d80c10b", 482 => x"81d7b834", 483 => x"800b81d9", 484 => x"940c7080", 485 => x"0c823d0d", 486 => x"04ff3d0d", 487 => x"800b81d7", 488 => x"b8335252", 489 => x"7080c12e", 490 => x"99387181", 491 => x"d9940807", 492 => x"81d9940c", 493 => x"80c20b81", 494 => x"d7bc3470", 495 => x"800c833d", 496 => x"0d04810b", 497 => x"81d99408", 498 => x"0781d994", 499 => x"0c80c20b", 500 => x"81d7bc34", 501 => x"70800c83", 502 => x"3d0d04fd", 503 => x"3d0d7570", 504 => x"088a0553", 505 => x"5381d7b8", 506 => x"33517080", 507 => x"c12e8b38", 508 => x"73f33870", 509 => x"800c853d", 510 => x"0d04ff12", 511 => x"7081d7b4", 512 => x"0831740c", 513 => x"800c853d", 514 => x"0d04fc3d", 515 => x"0d81d7c0", 516 => x"08557480", 517 => x"2e8c3876", 518 => x"7508710c", 519 => x"81d7c008", 520 => x"56548c15", 521 => x"5381d7b4", 522 => x"08528a51", 523 => x"8fe73f73", 524 => x"800c863d", 525 => x"0d04fb3d", 526 => x"0d777008", 527 => x"5656b053", 528 => x"81d7c008", 529 => x"52745180", 530 => x"cdff3f85", 531 => x"0b8c170c", 532 => x"850b8c16", 533 => x"0c750875", 534 => x"0c81d7c0", 535 => x"08547380", 536 => x"2e8a3873", 537 => x"08750c81", 538 => x"d7c00854", 539 => x"8c145381", 540 => x"d7b40852", 541 => x"8a518f9d", 542 => x"3f841508", 543 => x"ad38860b", 544 => x"8c160c88", 545 => x"15528816", 546 => x"08518ea9", 547 => x"3f81d7c0", 548 => x"08700876", 549 => x"0c548c15", 550 => x"7054548a", 551 => x"52730851", 552 => x"8ef33f73", 553 => x"800c873d", 554 => x"0d047508", 555 => x"54b05373", 556 => x"52755180", 557 => x"cd933f73", 558 => x"800c873d", 559 => x"0d04d93d", 560 => x"0d80f980", 561 => x"0b8188e8", 562 => x"0cb05180", 563 => x"c0e43f80", 564 => x"0881d7b0", 565 => x"0cb05180", 566 => x"c0d83f80", 567 => x"0881d7c0", 568 => x"0c81d7b0", 569 => x"0880080c", 570 => x"800b8008", 571 => x"84050c82", 572 => x"0b800888", 573 => x"050ca80b", 574 => x"80088c05", 575 => x"0c9f5380", 576 => x"ead85280", 577 => x"08900551", 578 => x"80ccbe3f", 579 => x"a13d5e9f", 580 => x"5380eaf8", 581 => x"527d5180", 582 => x"ccaf3f8a", 583 => x"0b8195f4", 584 => x"0c80f59c", 585 => x"51f9ae3f", 586 => x"80eb9851", 587 => x"f9a73f80", 588 => x"f59c51f9", 589 => x"a03f80f8", 590 => x"fc08802e", 591 => x"89d73880", 592 => x"ebc851f9", 593 => x"903f80f5", 594 => x"9c51f989", 595 => x"3f80f8f8", 596 => x"085280eb", 597 => x"f451f8fd", 598 => x"3f818990", 599 => x"5180d5da", 600 => x"3f810b9a", 601 => x"3d5e5b80", 602 => x"0b80f8f8", 603 => x"082582d6", 604 => x"38903d5f", 605 => x"80c10b81", 606 => x"d7b83481", 607 => x"0b81d994", 608 => x"0c80c20b", 609 => x"81d7bc34", 610 => x"8240835a", 611 => x"9f5380ec", 612 => x"a4527c51", 613 => x"80cbb23f", 614 => x"8141807d", 615 => x"537e5256", 616 => x"8e973f80", 617 => x"08762e09", 618 => x"81068338", 619 => x"81567581", 620 => x"d9940c7f", 621 => x"70585675", 622 => x"8325a238", 623 => x"75101016", 624 => x"fd0542a9", 625 => x"3dffa405", 626 => x"53835276", 627 => x"518cc63f", 628 => x"7f810570", 629 => x"41705856", 630 => x"837624e0", 631 => x"38615475", 632 => x"53818998", 633 => x"5281d7cc", 634 => x"518cba3f", 635 => x"81d7c008", 636 => x"70085858", 637 => x"b0537752", 638 => x"765180ca", 639 => x"cc3f850b", 640 => x"8c190c85", 641 => x"0b8c180c", 642 => x"7708770c", 643 => x"81d7c008", 644 => x"5675802e", 645 => x"8a387508", 646 => x"770c81d7", 647 => x"c008568c", 648 => x"165381d7", 649 => x"b408528a", 650 => x"518bea3f", 651 => x"84170887", 652 => x"eb38860b", 653 => x"8c180c88", 654 => x"17528818", 655 => x"08518af5", 656 => x"3f81d7c0", 657 => x"08700878", 658 => x"0c568c17", 659 => x"7054598a", 660 => x"52780851", 661 => x"8bbf3f80", 662 => x"c10b81d7", 663 => x"bc335757", 664 => x"767626a2", 665 => x"3880c352", 666 => x"76518ca3", 667 => x"3f800861", 668 => x"2e89e638", 669 => x"81177081", 670 => x"ff0681d7", 671 => x"bc335858", 672 => x"58757727", 673 => x"e0387960", 674 => x"29627054", 675 => x"71535b59", 676 => x"b9a43f80", 677 => x"0840787a", 678 => x"31708729", 679 => x"80083180", 680 => x"088a0581", 681 => x"d7b83381", 682 => x"d7b4085e", 683 => x"5b525a56", 684 => x"7780c12e", 685 => x"89d0387b", 686 => x"f738811b", 687 => x"5b80f8f8", 688 => x"087b25fd", 689 => x"af3881d7", 690 => x"a85180d2", 691 => x"ed3f80ec", 692 => x"c451f681", 693 => x"3f80f59c", 694 => x"51f5fa3f", 695 => x"80ecd451", 696 => x"f5f33f80", 697 => x"f59c51f5", 698 => x"ec3f81d7", 699 => x"b4085280", 700 => x"ed8c51f5", 701 => x"e03f8552", 702 => x"80eda851", 703 => x"f5d73f81", 704 => x"d9940852", 705 => x"80edc451", 706 => x"f5cb3f81", 707 => x"5280eda8", 708 => x"51f5c23f", 709 => x"81d7b833", 710 => x"5280ede0", 711 => x"51f5b63f", 712 => x"80c15280", 713 => x"edfc51f5", 714 => x"ac3f81d7", 715 => x"bc335280", 716 => x"ee9851f5", 717 => x"a03f80c2", 718 => x"5280edfc", 719 => x"51f5963f", 720 => x"81d7ec08", 721 => x"5280eeb4", 722 => x"51f58a3f", 723 => x"875280ed", 724 => x"a851f581", 725 => x"3f8195f4", 726 => x"085280ee", 727 => x"d051f4f5", 728 => x"3f80eeec", 729 => x"51f4ee3f", 730 => x"80ef9851", 731 => x"f4e73f81", 732 => x"d7c00870", 733 => x"08535a80", 734 => x"efa451f4", 735 => x"d83f80ef", 736 => x"c051f4d1", 737 => x"3f81d7c0", 738 => x"08841108", 739 => x"535680ef", 740 => x"f451f4c1", 741 => x"3f805280", 742 => x"eda851f4", 743 => x"b83f81d7", 744 => x"c0088811", 745 => x"08535880", 746 => x"f09051f4", 747 => x"a83f8252", 748 => x"80eda851", 749 => x"f49f3f81", 750 => x"d7c0088c", 751 => x"11085357", 752 => x"80f0ac51", 753 => x"f48f3f91", 754 => x"5280eda8", 755 => x"51f4863f", 756 => x"81d7c008", 757 => x"90055280", 758 => x"f0c851f3", 759 => x"f83f80f0", 760 => x"e451f3f1", 761 => x"3f80f19c", 762 => x"51f3ea3f", 763 => x"81d7b008", 764 => x"7008535f", 765 => x"80efa451", 766 => x"f3db3f80", 767 => x"f1b051f3", 768 => x"d43f81d7", 769 => x"b0088411", 770 => x"08535b80", 771 => x"eff451f3", 772 => x"c43f8052", 773 => x"80eda851", 774 => x"f3bb3f81", 775 => x"d7b00888", 776 => x"1108535c", 777 => x"80f09051", 778 => x"f3ab3f81", 779 => x"5280eda8", 780 => x"51f3a23f", 781 => x"81d7b008", 782 => x"8c110853", 783 => x"5a80f0ac", 784 => x"51f3923f", 785 => x"925280ed", 786 => x"a851f389", 787 => x"3f81d7b0", 788 => x"08900552", 789 => x"80f0c851", 790 => x"f2fb3f80", 791 => x"f0e451f2", 792 => x"f43f7f52", 793 => x"80f1f051", 794 => x"f2eb3f85", 795 => x"5280eda8", 796 => x"51f2e23f", 797 => x"785280f2", 798 => x"8c51f2d9", 799 => x"3f8d5280", 800 => x"eda851f2", 801 => x"d03f6152", 802 => x"80f2a851", 803 => x"f2c73f87", 804 => x"5280eda8", 805 => x"51f2be3f", 806 => x"605280f2", 807 => x"c451f2b5", 808 => x"3f815280", 809 => x"eda851f2", 810 => x"ac3f7d52", 811 => x"80f2e051", 812 => x"f2a33f80", 813 => x"f2fc51f2", 814 => x"9c3f7c52", 815 => x"80f3b451", 816 => x"f2933f80", 817 => x"f3d051f2", 818 => x"8c3f80f5", 819 => x"9c51f285", 820 => x"3f81d7a8", 821 => x"0881d7ac", 822 => x"08818990", 823 => x"08818994", 824 => x"08727131", 825 => x"70742675", 826 => x"74317072", 827 => x"31818988", 828 => x"0c444481", 829 => x"898c0c81", 830 => x"898c0856", 831 => x"80f48855", 832 => x"5c595758", 833 => x"f1cf3f81", 834 => x"89880856", 835 => x"80762582", 836 => x"a43880f8", 837 => x"f8087071", 838 => x"9f2c9a3d", 839 => x"53565681", 840 => x"89880881", 841 => x"898c0841", 842 => x"537f5470", 843 => x"525a8ef6", 844 => x"3f66685f", 845 => x"8188f80c", 846 => x"7d8188fc", 847 => x"0c80f8f8", 848 => x"08709f2c", 849 => x"58568058", 850 => x"bd84c078", 851 => x"55557652", 852 => x"75537951", 853 => x"87d23f95", 854 => x"3d818988", 855 => x"0881898c", 856 => x"0841557f", 857 => x"56676940", 858 => x"537e5470", 859 => x"525c8eb6", 860 => x"3f64665e", 861 => x"8189800c", 862 => x"7c818984", 863 => x"0c80f8f8", 864 => x"08709f2c", 865 => x"40588057", 866 => x"83dceb94", 867 => x"80775555", 868 => x"7e527753", 869 => x"7b518790", 870 => x"3f64665d", 871 => x"5b805e8d", 872 => x"dd7e5555", 873 => x"81898808", 874 => x"81898c08", 875 => x"59527753", 876 => x"795186f4", 877 => x"3f666840", 878 => x"547e557a", 879 => x"527b53a9", 880 => x"3dffa805", 881 => x"518ddf3f", 882 => x"62645e81", 883 => x"d7c40c7c", 884 => x"81d7c80c", 885 => x"80f49851", 886 => x"effb3f81", 887 => x"88fc0852", 888 => x"80f4c851", 889 => x"efef3f80", 890 => x"f4d051ef", 891 => x"e83f8189", 892 => x"84085280", 893 => x"f4c851ef", 894 => x"dc3f81d7", 895 => x"c8085280", 896 => x"f58051ef", 897 => x"d03f80f5", 898 => x"9c51efc9", 899 => x"3f800b80", 900 => x"0ca93d0d", 901 => x"0480f5a0", 902 => x"51f6a839", 903 => x"770857b0", 904 => x"53765277", 905 => x"5180c2a1", 906 => x"3f80c10b", 907 => x"81d7bc33", 908 => x"5757f8ac", 909 => x"39758a38", 910 => x"81898c08", 911 => x"8126fdd2", 912 => x"3880f5d0", 913 => x"51ef8e3f", 914 => x"80f68851", 915 => x"ef873f80", 916 => x"f59c51ef", 917 => x"803f80f8", 918 => x"f8087071", 919 => x"9f2c9a3d", 920 => x"53565681", 921 => x"89880881", 922 => x"898c0841", 923 => x"537f5470", 924 => x"525a8cb2", 925 => x"3f66685f", 926 => x"8188f80c", 927 => x"7d8188fc", 928 => x"0c80f8f8", 929 => x"08709f2c", 930 => x"58568058", 931 => x"bd84c078", 932 => x"55557652", 933 => x"75537951", 934 => x"858e3f95", 935 => x"3d818988", 936 => x"0881898c", 937 => x"0841557f", 938 => x"56676940", 939 => x"537e5470", 940 => x"525c8bf2", 941 => x"3f64665e", 942 => x"8189800c", 943 => x"7c818984", 944 => x"0c80f8f8", 945 => x"08709f2c", 946 => x"40588057", 947 => x"83dceb94", 948 => x"80775555", 949 => x"7e527753", 950 => x"7b5184cc", 951 => x"3f64665d", 952 => x"5b805e8d", 953 => x"dd7e5555", 954 => x"81898808", 955 => x"81898c08", 956 => x"59527753", 957 => x"795184b0", 958 => x"3f666840", 959 => x"547e557a", 960 => x"527b53a9", 961 => x"3dffa805", 962 => x"518b9b3f", 963 => x"62645e81", 964 => x"d7c40c7c", 965 => x"81d7c80c", 966 => x"80f49851", 967 => x"edb73f81", 968 => x"88fc0852", 969 => x"80f4c851", 970 => x"edab3f80", 971 => x"f4d051ed", 972 => x"a43f8189", 973 => x"84085280", 974 => x"f4c851ed", 975 => x"983f81d7", 976 => x"c8085280", 977 => x"f58051ed", 978 => x"8c3f80f5", 979 => x"9c51ed85", 980 => x"3f800b80", 981 => x"0ca93d0d", 982 => x"04a93dff", 983 => x"a0055280", 984 => x"5180d23f", 985 => x"9f5380f6", 986 => x"a8527c51", 987 => x"bfdb3f7a", 988 => x"7b81d7b4", 989 => x"0c811870", 990 => x"81ff0681", 991 => x"d7bc3359", 992 => x"59595af5", 993 => x"fc39ff16", 994 => x"707b3160", 995 => x"0c5c800b", 996 => x"811c5c5c", 997 => x"80f8f808", 998 => x"7b25f3d8", 999 => x"38f6a739", 1000 => x"ff3d0d73", 1001 => x"82327030", 1002 => x"70720780", 1003 => x"25800c52", 1004 => x"52833d0d", 1005 => x"04fe3d0d", 1006 => x"74767153", 1007 => x"54527182", 1008 => x"2e833883", 1009 => x"5171812e", 1010 => x"9a388172", 1011 => x"269f3871", 1012 => x"822eb838", 1013 => x"71842ea9", 1014 => x"3870730c", 1015 => x"70800c84", 1016 => x"3d0d0480", 1017 => x"e40b81d7", 1018 => x"b408258b", 1019 => x"3880730c", 1020 => x"70800c84", 1021 => x"3d0d0483", 1022 => x"730c7080", 1023 => x"0c843d0d", 1024 => x"0482730c", 1025 => x"70800c84", 1026 => x"3d0d0481", 1027 => x"730c7080", 1028 => x"0c843d0d", 1029 => x"04803d0d", 1030 => x"74741482", 1031 => x"05710c80", 1032 => x"0c823d0d", 1033 => x"04f73d0d", 1034 => x"7b7d7f61", 1035 => x"85127082", 1036 => x"2b751170", 1037 => x"74717084", 1038 => x"05530c5a", 1039 => x"5a5d5b76", 1040 => x"0c7980f8", 1041 => x"180c7986", 1042 => x"12525758", 1043 => x"5a5a7676", 1044 => x"24993876", 1045 => x"b329822b", 1046 => x"79115153", 1047 => x"76737084", 1048 => x"05550c81", 1049 => x"14547574", 1050 => x"25f23876", 1051 => x"81cc2919", 1052 => x"fc110881", 1053 => x"05fc120c", 1054 => x"7a197008", 1055 => x"9fa0130c", 1056 => x"5856850b", 1057 => x"81d7b40c", 1058 => x"75800c8b", 1059 => x"3d0d04fe", 1060 => x"3d0d0293", 1061 => x"05335180", 1062 => x"02840597", 1063 => x"05335452", 1064 => x"70732e88", 1065 => x"3871800c", 1066 => x"843d0d04", 1067 => x"7081d7b8", 1068 => x"34810b80", 1069 => x"0c843d0d", 1070 => x"04f83d0d", 1071 => x"7a7c5956", 1072 => x"820b8319", 1073 => x"55557416", 1074 => x"70337533", 1075 => x"5b515372", 1076 => x"792e80c6", 1077 => x"3880c10b", 1078 => x"81168116", 1079 => x"56565782", 1080 => x"7525e338", 1081 => x"ffa91770", 1082 => x"81ff0655", 1083 => x"59738226", 1084 => x"83388755", 1085 => x"81537680", 1086 => x"d22e9838", 1087 => x"77527551", 1088 => x"be963f80", 1089 => x"53728008", 1090 => x"25893887", 1091 => x"1581d7b4", 1092 => x"0c815372", 1093 => x"800c8a3d", 1094 => x"0d047281", 1095 => x"d7b83482", 1096 => x"7525ffa2", 1097 => x"38ffbd39", 1098 => x"8c08028c", 1099 => x"0ceb3d0d", 1100 => x"800b8c08", 1101 => x"f0050c80", 1102 => x"0b8c08f4", 1103 => x"050c8c08", 1104 => x"8c05088c", 1105 => x"08900508", 1106 => x"5654738c", 1107 => x"08f0050c", 1108 => x"748c08f4", 1109 => x"050c8c08", 1110 => x"f8058c08", 1111 => x"f0055656", 1112 => x"88705475", 1113 => x"53765254", 1114 => x"bbdf3f80", 1115 => x"0b8c08e8", 1116 => x"050c800b", 1117 => x"8c08ec05", 1118 => x"0c8c0894", 1119 => x"05088c08", 1120 => x"98050856", 1121 => x"54738c08", 1122 => x"e8050c74", 1123 => x"8c08ec05", 1124 => x"0c8c08f0", 1125 => x"058c08e8", 1126 => x"05565688", 1127 => x"70547553", 1128 => x"765254bb", 1129 => x"a43f800b", 1130 => x"8c08e805", 1131 => x"0c800b8c", 1132 => x"08ec050c", 1133 => x"8c08fc05", 1134 => x"0883ffff", 1135 => x"068c08cc", 1136 => x"050c8c08", 1137 => x"fc050890", 1138 => x"2a8c08c4", 1139 => x"050c8c08", 1140 => x"f4050883", 1141 => x"ffff068c", 1142 => x"08c8050c", 1143 => x"8c08f405", 1144 => x"08902a8c", 1145 => x"08c0050c", 1146 => x"8c08cc05", 1147 => x"088c08c8", 1148 => x"05082970", 1149 => x"8c08dc05", 1150 => x"0c8c08cc", 1151 => x"05088c08", 1152 => x"c0050829", 1153 => x"708c08d8", 1154 => x"050c8c08", 1155 => x"c405088c", 1156 => x"08c80508", 1157 => x"29708c08", 1158 => x"d4050c8c", 1159 => x"08c40508", 1160 => x"8c08c005", 1161 => x"0829708c", 1162 => x"08d0050c", 1163 => x"8c08dc05", 1164 => x"08902a8c", 1165 => x"08d80508", 1166 => x"118c08d8", 1167 => x"050c8c08", 1168 => x"d805088c", 1169 => x"08d40508", 1170 => x"058c08d8", 1171 => x"050c5151", 1172 => x"5151548c", 1173 => x"08d80508", 1174 => x"8c08d405", 1175 => x"08278f38", 1176 => x"8c08d005", 1177 => x"08848080", 1178 => x"058c08d0", 1179 => x"050c8c08", 1180 => x"d8050890", 1181 => x"2a8c08d0", 1182 => x"0508118c", 1183 => x"08e0050c", 1184 => x"8c08d805", 1185 => x"0883ffff", 1186 => x"0670902b", 1187 => x"8c08dc05", 1188 => x"0883ffff", 1189 => x"0670128c", 1190 => x"08e4050c", 1191 => x"52575154", 1192 => x"8c08e005", 1193 => x"088c08e4", 1194 => x"05085654", 1195 => x"738c08e8", 1196 => x"050c748c", 1197 => x"08ec050c", 1198 => x"8c08fc05", 1199 => x"088c08f0", 1200 => x"0508298c", 1201 => x"08f80508", 1202 => x"8c08f405", 1203 => x"08297012", 1204 => x"8c08e805", 1205 => x"08118c08", 1206 => x"e8050c51", 1207 => x"55558c08", 1208 => x"e805088c", 1209 => x"08ec0508", 1210 => x"8c088805", 1211 => x"08585654", 1212 => x"73760c74", 1213 => x"84170c8c", 1214 => x"08880508", 1215 => x"800c973d", 1216 => x"0d8c0c04", 1217 => x"8c08028c", 1218 => x"0cf63d0d", 1219 => x"800b8c08", 1220 => x"f0050c80", 1221 => x"0b8c08f4", 1222 => x"050c8c08", 1223 => x"8c05088c", 1224 => x"08900508", 1225 => x"5654738c", 1226 => x"08f0050c", 1227 => x"748c08f4", 1228 => x"050c8c08", 1229 => x"f8058c08", 1230 => x"f0055656", 1231 => x"88705475", 1232 => x"53765254", 1233 => x"b8833f80", 1234 => x"0b8c08f0", 1235 => x"050c800b", 1236 => x"8c08f405", 1237 => x"0c8c08f8", 1238 => x"0508308c", 1239 => x"08ec050c", 1240 => x"8c08fc05", 1241 => x"08802e8d", 1242 => x"388c08ec", 1243 => x"0508ff05", 1244 => x"8c08ec05", 1245 => x"0c8c08ec", 1246 => x"05088c08", 1247 => x"f0050c8c", 1248 => x"08fc0508", 1249 => x"308c08f4", 1250 => x"050c8c08", 1251 => x"f005088c", 1252 => x"08f40508", 1253 => x"8c088805", 1254 => x"08585654", 1255 => x"73760c74", 1256 => x"84170c8c", 1257 => x"08880508", 1258 => x"800c8c3d", 1259 => x"0d8c0c04", 1260 => x"8c08028c", 1261 => x"0cf53d0d", 1262 => x"8c089405", 1263 => x"089d388c", 1264 => x"088c0508", 1265 => x"8c089005", 1266 => x"088c0888", 1267 => x"05085856", 1268 => x"5473760c", 1269 => x"7484170c", 1270 => x"81bf3980", 1271 => x"0b8c08f0", 1272 => x"050c800b", 1273 => x"8c08f405", 1274 => x"0c8c088c", 1275 => x"05088c08", 1276 => x"90050856", 1277 => x"54738c08", 1278 => x"f0050c74", 1279 => x"8c08f405", 1280 => x"0c8c08f8", 1281 => x"058c08f0", 1282 => x"05565688", 1283 => x"70547553", 1284 => x"765254b6", 1285 => x"b43fa00b", 1286 => x"8c089405", 1287 => x"08318c08", 1288 => x"ec050c8c", 1289 => x"08ec0508", 1290 => x"80249d38", 1291 => x"800b8c08", 1292 => x"f4050c8c", 1293 => x"08ec0508", 1294 => x"308c08fc", 1295 => x"0508712b", 1296 => x"8c08f005", 1297 => x"0c54b939", 1298 => x"8c08fc05", 1299 => x"088c08ec", 1300 => x"05082a8c", 1301 => x"08e8050c", 1302 => x"8c08fc05", 1303 => x"088c0894", 1304 => x"05082b8c", 1305 => x"08f4050c", 1306 => x"8c08f805", 1307 => x"088c0894", 1308 => x"05082b70", 1309 => x"8c08e805", 1310 => x"08078c08", 1311 => x"f0050c54", 1312 => x"8c08f005", 1313 => x"088c08f4", 1314 => x"05088c08", 1315 => x"88050858", 1316 => x"56547376", 1317 => x"0c748417", 1318 => x"0c8c0888", 1319 => x"0508800c", 1320 => x"8d3d0d8c", 1321 => x"0c048c08", 1322 => x"028c0ccc", 1323 => x"3d0d800b", 1324 => x"8c08fc05", 1325 => x"0c800b8c", 1326 => x"08ec050c", 1327 => x"800b8c08", 1328 => x"f0050c8c", 1329 => x"088c0508", 1330 => x"8c089005", 1331 => x"08565473", 1332 => x"8c08ec05", 1333 => x"0c748c08", 1334 => x"f0050c8c", 1335 => x"08f4058c", 1336 => x"08ec0556", 1337 => x"56887054", 1338 => x"75537652", 1339 => x"54b4da3f", 1340 => x"800b8c08", 1341 => x"e4050c80", 1342 => x"0b8c08e8", 1343 => x"050c8c08", 1344 => x"9405088c", 1345 => x"08980508", 1346 => x"5654738c", 1347 => x"08e4050c", 1348 => x"748c08e8", 1349 => x"050c8c08", 1350 => x"ec058c08", 1351 => x"e4055656", 1352 => x"88705475", 1353 => x"53765254", 1354 => x"b49f3f8c", 1355 => x"08f40508", 1356 => x"8025be38", 1357 => x"8c08fc05", 1358 => x"08098c08", 1359 => x"fc050c8c", 1360 => x"08d40554", 1361 => x"8c08f405", 1362 => x"088c08f8", 1363 => x"05085755", 1364 => x"74527553", 1365 => x"7351fbac", 1366 => x"3f8c08d4", 1367 => x"05088c08", 1368 => x"d8050856", 1369 => x"54738c08", 1370 => x"f4050c74", 1371 => x"8c08f805", 1372 => x"0c8c08ec", 1373 => x"05088025", 1374 => x"be388c08", 1375 => x"fc050809", 1376 => x"8c08fc05", 1377 => x"0c8c08d4", 1378 => x"05548c08", 1379 => x"ec05088c", 1380 => x"08f00508", 1381 => x"57557452", 1382 => x"75537351", 1383 => x"fae63f8c", 1384 => x"08d40508", 1385 => x"8c08d805", 1386 => x"08565473", 1387 => x"8c08ec05", 1388 => x"0c748c08", 1389 => x"f0050c8c", 1390 => x"08f40508", 1391 => x"8c08f805", 1392 => x"08565473", 1393 => x"8c08d405", 1394 => x"0c748c08", 1395 => x"d8050c8c", 1396 => x"08ec0508", 1397 => x"8c08f005", 1398 => x"08565473", 1399 => x"8c08cc05", 1400 => x"0c748c08", 1401 => x"d0050c80", 1402 => x"0b8c08c8", 1403 => x"050c800b", 1404 => x"8c08e405", 1405 => x"0c800b8c", 1406 => x"08e8050c", 1407 => x"8c08d405", 1408 => x"088c08d8", 1409 => x"05085654", 1410 => x"738c08e4", 1411 => x"050c748c", 1412 => x"08e8050c", 1413 => x"800b8c08", 1414 => x"ffb8050c", 1415 => x"800b8c08", 1416 => x"ffbc050c", 1417 => x"8c08cc05", 1418 => x"088c08d0", 1419 => x"05085654", 1420 => x"738c08ff", 1421 => x"b8050c74", 1422 => x"8c08ffbc", 1423 => x"050c8c08", 1424 => x"ffbc0508", 1425 => x"8c08ffac", 1426 => x"050c8c08", 1427 => x"ffb80508", 1428 => x"8c08ffa8", 1429 => x"050c8c08", 1430 => x"e805088c", 1431 => x"08ffa405", 1432 => x"0c8c08e4", 1433 => x"05088c08", 1434 => x"ffa0050c", 1435 => x"8c08ffa8", 1436 => x"050891d4", 1437 => x"388c08ff", 1438 => x"a005088c", 1439 => x"08ffac05", 1440 => x"0827868c", 1441 => x"388c08ff", 1442 => x"ac05088c", 1443 => x"08ff8805", 1444 => x"0c8c08ff", 1445 => x"88050883", 1446 => x"ffff26a0", 1447 => x"388c08ff", 1448 => x"88050881", 1449 => x"ff268b38", 1450 => x"800b8c08", 1451 => x"fed8050c", 1452 => x"a939880b", 1453 => x"8c08fed8", 1454 => x"050c9f39", 1455 => x"8c08ff88", 1456 => x"0508fe80", 1457 => x"0a268b38", 1458 => x"900b8c08", 1459 => x"fed8050c", 1460 => x"8939980b", 1461 => x"8c08fed8", 1462 => x"050c8c08", 1463 => x"fed80508", 1464 => x"8c08ff84", 1465 => x"050c8c08", 1466 => x"ff880508", 1467 => x"8c08ff84", 1468 => x"05082a80", 1469 => x"f6c81133", 1470 => x"8c08ff84", 1471 => x"050811a0", 1472 => x"71318c08", 1473 => x"ff8c050c", 1474 => x"5151548c", 1475 => x"08ff8c05", 1476 => x"08802e80", 1477 => x"d1388c08", 1478 => x"ffac0508", 1479 => x"8c08ff8c", 1480 => x"05082b8c", 1481 => x"08ffac05", 1482 => x"0c8c08ff", 1483 => x"a005088c", 1484 => x"08ff8c05", 1485 => x"082ba00b", 1486 => x"8c08ff8c", 1487 => x"0508318c", 1488 => x"08ffa405", 1489 => x"08712a70", 1490 => x"73078c08", 1491 => x"ffa0050c", 1492 => x"8c08ffa4", 1493 => x"05088c08", 1494 => x"ff8c0508", 1495 => x"2b8c08ff", 1496 => x"a4050c51", 1497 => x"56548c08", 1498 => x"ffac0508", 1499 => x"902a8c08", 1500 => x"ff84050c", 1501 => x"8c08ffac", 1502 => x"050883ff", 1503 => x"ff068c08", 1504 => x"ff88050c", 1505 => x"8c08ffa0", 1506 => x"05088c08", 1507 => x"ff840508", 1508 => x"53705254", 1509 => x"9efb3f80", 1510 => x"08708c08", 1511 => x"fef8050c", 1512 => x"8c08ff84", 1513 => x"0508538c", 1514 => x"08ffa005", 1515 => x"0852549e", 1516 => x"bb3f8008", 1517 => x"708c08ff", 1518 => x"80050c8c", 1519 => x"08ff8005", 1520 => x"088c08ff", 1521 => x"88050829", 1522 => x"708c08fe", 1523 => x"f0050c8c", 1524 => x"08fef805", 1525 => x"0870902b", 1526 => x"8c08ffa4", 1527 => x"0508902a", 1528 => x"7072078c", 1529 => x"08fef805", 1530 => x"0c525851", 1531 => x"51548c08", 1532 => x"fef80508", 1533 => x"8c08fef0", 1534 => x"05082780", 1535 => x"e1388c08", 1536 => x"ff800508", 1537 => x"ff058c08", 1538 => x"ff80050c", 1539 => x"8c08fef8", 1540 => x"05088c08", 1541 => x"ffac0508", 1542 => x"058c08fe", 1543 => x"f8050c8c", 1544 => x"08ffac05", 1545 => x"088c08fe", 1546 => x"f8050826", 1547 => x"b1388c08", 1548 => x"fef80508", 1549 => x"8c08fef0", 1550 => x"050827a2", 1551 => x"388c08ff", 1552 => x"800508ff", 1553 => x"058c08ff", 1554 => x"80050c8c", 1555 => x"08fef805", 1556 => x"088c08ff", 1557 => x"ac050805", 1558 => x"8c08fef8", 1559 => x"050c8c08", 1560 => x"fef80508", 1561 => x"8c08fef0", 1562 => x"0508318c", 1563 => x"08fef805", 1564 => x"0c8c08fe", 1565 => x"f805088c", 1566 => x"08ff8405", 1567 => x"08537052", 1568 => x"549d8e3f", 1569 => x"8008708c", 1570 => x"08fef405", 1571 => x"0c8c08ff", 1572 => x"84050853", 1573 => x"8c08fef8", 1574 => x"05085254", 1575 => x"9cce3f80", 1576 => x"08708c08", 1577 => x"fefc050c", 1578 => x"8c08fefc", 1579 => x"05088c08", 1580 => x"ff880508", 1581 => x"29708c08", 1582 => x"fef0050c", 1583 => x"8c08fef4", 1584 => x"05087090", 1585 => x"2b8c08ff", 1586 => x"a4050883", 1587 => x"ffff0670", 1588 => x"72078c08", 1589 => x"fef4050c", 1590 => x"52585151", 1591 => x"548c08fe", 1592 => x"f405088c", 1593 => x"08fef005", 1594 => x"082780e1", 1595 => x"388c08fe", 1596 => x"fc0508ff", 1597 => x"058c08fe", 1598 => x"fc050c8c", 1599 => x"08fef405", 1600 => x"088c08ff", 1601 => x"ac050805", 1602 => x"8c08fef4", 1603 => x"050c8c08", 1604 => x"ffac0508", 1605 => x"8c08fef4", 1606 => x"050826b1", 1607 => x"388c08fe", 1608 => x"f405088c", 1609 => x"08fef005", 1610 => x"0827a238", 1611 => x"8c08fefc", 1612 => x"0508ff05", 1613 => x"8c08fefc", 1614 => x"050c8c08", 1615 => x"fef40508", 1616 => x"8c08ffac", 1617 => x"0508058c", 1618 => x"08fef405", 1619 => x"0c8c08fe", 1620 => x"f405088c", 1621 => x"08fef005", 1622 => x"08318c08", 1623 => x"fef4050c", 1624 => x"8c08ff80", 1625 => x"05087090", 1626 => x"2b708c08", 1627 => x"fefc0508", 1628 => x"078c08ff", 1629 => x"98050c8c", 1630 => x"08fef405", 1631 => x"088c08ff", 1632 => x"a4050c51", 1633 => x"54800b8c", 1634 => x"08ff9405", 1635 => x"0c8af639", 1636 => x"8c08ffac", 1637 => x"05089738", 1638 => x"8c08ffac", 1639 => x"05085281", 1640 => x"519ac93f", 1641 => x"8008708c", 1642 => x"08ffac05", 1643 => x"0c548c08", 1644 => x"ffac0508", 1645 => x"8c08fef0", 1646 => x"050c8c08", 1647 => x"fef00508", 1648 => x"83ffff26", 1649 => x"a0388c08", 1650 => x"fef00508", 1651 => x"81ff268b", 1652 => x"38800b8c", 1653 => x"08fed405", 1654 => x"0ca93988", 1655 => x"0b8c08fe", 1656 => x"d4050c9f", 1657 => x"398c08fe", 1658 => x"f00508fe", 1659 => x"800a268b", 1660 => x"38900b8c", 1661 => x"08fed405", 1662 => x"0c893998", 1663 => x"0b8c08fe", 1664 => x"d4050c8c", 1665 => x"08fed405", 1666 => x"088c08fe", 1667 => x"f4050c8c", 1668 => x"08fef005", 1669 => x"088c08fe", 1670 => x"f405082a", 1671 => x"80f6c811", 1672 => x"338c08fe", 1673 => x"f4050811", 1674 => x"a071318c", 1675 => x"08ff8c05", 1676 => x"0c515154", 1677 => x"8c08ff8c", 1678 => x"05089f38", 1679 => x"8c08ffa0", 1680 => x"05088c08", 1681 => x"ffac0508", 1682 => x"318c08ff", 1683 => x"a0050c81", 1684 => x"0b8c08ff", 1685 => x"94050c85", 1686 => x"8d39a00b", 1687 => x"8c08ff8c", 1688 => x"0508318c", 1689 => x"08ff9005", 1690 => x"0c8c08ff", 1691 => x"ac05088c", 1692 => x"08ff8c05", 1693 => x"082b8c08", 1694 => x"ffac050c", 1695 => x"8c08ffa0", 1696 => x"05088c08", 1697 => x"ff900508", 1698 => x"2a8c08ff", 1699 => x"9c050c8c", 1700 => x"08ffa005", 1701 => x"088c08ff", 1702 => x"8c05082b", 1703 => x"8c08ffa4", 1704 => x"05088c08", 1705 => x"ff900508", 1706 => x"2a707207", 1707 => x"8c08ffa0", 1708 => x"050c8c08", 1709 => x"ffa40508", 1710 => x"8c08ff8c", 1711 => x"05082b8c", 1712 => x"08ffa405", 1713 => x"0c8c08ff", 1714 => x"ac050890", 1715 => x"2a8c08fe", 1716 => x"f0050c8c", 1717 => x"08ffac05", 1718 => x"0883ffff", 1719 => x"068c08fe", 1720 => x"f4050c8c", 1721 => x"08ff9c05", 1722 => x"088c08fe", 1723 => x"f0050855", 1724 => x"70545155", 1725 => x"55989a3f", 1726 => x"8008708c", 1727 => x"08ff8005", 1728 => x"0c8c08fe", 1729 => x"f0050853", 1730 => x"8c08ff9c", 1731 => x"05085254", 1732 => x"97da3f80", 1733 => x"08708c08", 1734 => x"fef8050c", 1735 => x"8c08fef8", 1736 => x"05088c08", 1737 => x"fef40508", 1738 => x"29708c08", 1739 => x"ff88050c", 1740 => x"8c08ff80", 1741 => x"05087090", 1742 => x"2b8c08ff", 1743 => x"a0050890", 1744 => x"2a707207", 1745 => x"8c08ff80", 1746 => x"050c5258", 1747 => x"5151548c", 1748 => x"08ff8005", 1749 => x"088c08ff", 1750 => x"88050827", 1751 => x"80e1388c", 1752 => x"08fef805", 1753 => x"08ff058c", 1754 => x"08fef805", 1755 => x"0c8c08ff", 1756 => x"8005088c", 1757 => x"08ffac05", 1758 => x"08058c08", 1759 => x"ff80050c", 1760 => x"8c08ffac", 1761 => x"05088c08", 1762 => x"ff800508", 1763 => x"26b1388c", 1764 => x"08ff8005", 1765 => x"088c08ff", 1766 => x"88050827", 1767 => x"a2388c08", 1768 => x"fef80508", 1769 => x"ff058c08", 1770 => x"fef8050c", 1771 => x"8c08ff80", 1772 => x"05088c08", 1773 => x"ffac0508", 1774 => x"058c08ff", 1775 => x"80050c8c", 1776 => x"08ff8005", 1777 => x"088c08ff", 1778 => x"88050831", 1779 => x"8c08ff80", 1780 => x"050c8c08", 1781 => x"ff800508", 1782 => x"8c08fef0", 1783 => x"05085370", 1784 => x"525496ad", 1785 => x"3f800870", 1786 => x"8c08ff84", 1787 => x"050c8c08", 1788 => x"fef00508", 1789 => x"538c08ff", 1790 => x"80050852", 1791 => x"5495ed3f", 1792 => x"8008708c", 1793 => x"08fefc05", 1794 => x"0c8c08fe", 1795 => x"fc05088c", 1796 => x"08fef405", 1797 => x"0829708c", 1798 => x"08ff8805", 1799 => x"0c8c08ff", 1800 => x"84050870", 1801 => x"902b8c08", 1802 => x"ffa00508", 1803 => x"83ffff06", 1804 => x"7072078c", 1805 => x"08ff8405", 1806 => x"0c525851", 1807 => x"51548c08", 1808 => x"ff840508", 1809 => x"8c08ff88", 1810 => x"05082780", 1811 => x"e1388c08", 1812 => x"fefc0508", 1813 => x"ff058c08", 1814 => x"fefc050c", 1815 => x"8c08ff84", 1816 => x"05088c08", 1817 => x"ffac0508", 1818 => x"058c08ff", 1819 => x"84050c8c", 1820 => x"08ffac05", 1821 => x"088c08ff", 1822 => x"84050826", 1823 => x"b1388c08", 1824 => x"ff840508", 1825 => x"8c08ff88", 1826 => x"050827a2", 1827 => x"388c08fe", 1828 => x"fc0508ff", 1829 => x"058c08fe", 1830 => x"fc050c8c", 1831 => x"08ff8405", 1832 => x"088c08ff", 1833 => x"ac050805", 1834 => x"8c08ff84", 1835 => x"050c8c08", 1836 => x"ff840508", 1837 => x"8c08ff88", 1838 => x"0508318c", 1839 => x"08ff8405", 1840 => x"0c8c08fe", 1841 => x"f8050870", 1842 => x"902b708c", 1843 => x"08fefc05", 1844 => x"08078c08", 1845 => x"ff94050c", 1846 => x"8c08ff84", 1847 => x"05088c08", 1848 => x"ffa0050c", 1849 => x"51548c08", 1850 => x"ffac0508", 1851 => x"902a8c08", 1852 => x"fef0050c", 1853 => x"8c08ffac", 1854 => x"050883ff", 1855 => x"ff068c08", 1856 => x"fef4050c", 1857 => x"8c08ffa0", 1858 => x"05088c08", 1859 => x"fef00508", 1860 => x"53705254", 1861 => x"93fb3f80", 1862 => x"08708c08", 1863 => x"ff80050c", 1864 => x"8c08fef0", 1865 => x"0508538c", 1866 => x"08ffa005", 1867 => x"08525493", 1868 => x"bb3f8008", 1869 => x"708c08fe", 1870 => x"f8050c8c", 1871 => x"08fef805", 1872 => x"088c08fe", 1873 => x"f4050829", 1874 => x"708c08ff", 1875 => x"88050c8c", 1876 => x"08ff8005", 1877 => x"0870902b", 1878 => x"8c08ffa4", 1879 => x"0508902a", 1880 => x"7072078c", 1881 => x"08ff8005", 1882 => x"0c525851", 1883 => x"51548c08", 1884 => x"ff800508", 1885 => x"8c08ff88", 1886 => x"05082780", 1887 => x"e1388c08", 1888 => x"fef80508", 1889 => x"ff058c08", 1890 => x"fef8050c", 1891 => x"8c08ff80", 1892 => x"05088c08", 1893 => x"ffac0508", 1894 => x"058c08ff", 1895 => x"80050c8c", 1896 => x"08ffac05", 1897 => x"088c08ff", 1898 => x"80050826", 1899 => x"b1388c08", 1900 => x"ff800508", 1901 => x"8c08ff88", 1902 => x"050827a2", 1903 => x"388c08fe", 1904 => x"f80508ff", 1905 => x"058c08fe", 1906 => x"f8050c8c", 1907 => x"08ff8005", 1908 => x"088c08ff", 1909 => x"ac050805", 1910 => x"8c08ff80", 1911 => x"050c8c08", 1912 => x"ff800508", 1913 => x"8c08ff88", 1914 => x"0508318c", 1915 => x"08ff8005", 1916 => x"0c8c08ff", 1917 => x"8005088c", 1918 => x"08fef005", 1919 => x"08537052", 1920 => x"54928e3f", 1921 => x"8008708c", 1922 => x"08ff8405", 1923 => x"0c8c08fe", 1924 => x"f0050853", 1925 => x"8c08ff80", 1926 => x"05085254", 1927 => x"91ce3f80", 1928 => x"08708c08", 1929 => x"fefc050c", 1930 => x"8c08fefc", 1931 => x"05088c08", 1932 => x"fef40508", 1933 => x"29708c08", 1934 => x"ff88050c", 1935 => x"8c08ff84", 1936 => x"05087090", 1937 => x"2b8c08ff", 1938 => x"a4050883", 1939 => x"ffff0670", 1940 => x"72078c08", 1941 => x"ff84050c", 1942 => x"52585151", 1943 => x"548c08ff", 1944 => x"8405088c", 1945 => x"08ff8805", 1946 => x"082780e1", 1947 => x"388c08fe", 1948 => x"fc0508ff", 1949 => x"058c08fe", 1950 => x"fc050c8c", 1951 => x"08ff8405", 1952 => x"088c08ff", 1953 => x"ac050805", 1954 => x"8c08ff84", 1955 => x"050c8c08", 1956 => x"ffac0508", 1957 => x"8c08ff84", 1958 => x"050826b1", 1959 => x"388c08ff", 1960 => x"8405088c", 1961 => x"08ff8805", 1962 => x"0827a238", 1963 => x"8c08fefc", 1964 => x"0508ff05", 1965 => x"8c08fefc", 1966 => x"050c8c08", 1967 => x"ff840508", 1968 => x"8c08ffac", 1969 => x"0508058c", 1970 => x"08ff8405", 1971 => x"0c8c08ff", 1972 => x"8405088c", 1973 => x"08ff8805", 1974 => x"08318c08", 1975 => x"ff84050c", 1976 => x"8c08fef8", 1977 => x"05087090", 1978 => x"2b708c08", 1979 => x"fefc0508", 1980 => x"078c08ff", 1981 => x"98050c8c", 1982 => x"08ff8405", 1983 => x"088c08ff", 1984 => x"a4050c51", 1985 => x"548c08c8", 1986 => x"0508802e", 1987 => x"8ea3388c", 1988 => x"08ffa405", 1989 => x"088c08ff", 1990 => x"8c05082a", 1991 => x"8c08ffb4", 1992 => x"050c800b", 1993 => x"8c08ffb0", 1994 => x"050c8c08", 1995 => x"c8050856", 1996 => x"8c08ffb0", 1997 => x"05088c08", 1998 => x"ffb40508", 1999 => x"56547376", 2000 => x"0c748417", 2001 => x"0c8dea39", 2002 => x"8c08ffa0", 2003 => x"05088c08", 2004 => x"ffa80508", 2005 => x"2780d138", 2006 => x"800b8c08", 2007 => x"ff98050c", 2008 => x"800b8c08", 2009 => x"ff94050c", 2010 => x"8c08c805", 2011 => x"08802e8d", 2012 => x"c0388c08", 2013 => x"ffa40508", 2014 => x"8c08ffb4", 2015 => x"050c8c08", 2016 => x"ffa00508", 2017 => x"8c08ffb0", 2018 => x"050c8c08", 2019 => x"c8050856", 2020 => x"8c08ffb0", 2021 => x"05088c08", 2022 => x"ffb40508", 2023 => x"56547376", 2024 => x"0c748417", 2025 => x"0c8d8a39", 2026 => x"8c08ffa8", 2027 => x"05088c08", 2028 => x"fef0050c", 2029 => x"8c08fef0", 2030 => x"050883ff", 2031 => x"ff26a038", 2032 => x"8c08fef0", 2033 => x"050881ff", 2034 => x"268b3880", 2035 => x"0b8c08fe", 2036 => x"d0050ca9", 2037 => x"39880b8c", 2038 => x"08fed005", 2039 => x"0c9f398c", 2040 => x"08fef005", 2041 => x"08fe800a", 2042 => x"268b3890", 2043 => x"0b8c08fe", 2044 => x"d0050c89", 2045 => x"39980b8c", 2046 => x"08fed005", 2047 => x"0c8c08fe", 2048 => x"d005088c", 2049 => x"08fef405", 2050 => x"0c8c08fe", 2051 => x"f005088c", 2052 => x"08fef405", 2053 => x"082a80f6", 2054 => x"c811338c", 2055 => x"08fef405", 2056 => x"0811a071", 2057 => x"318c08ff", 2058 => x"8c050c51", 2059 => x"51548c08", 2060 => x"ff8c0508", 2061 => x"81d9388c", 2062 => x"08ffa005", 2063 => x"088c08ff", 2064 => x"a8050826", 2065 => x"93388c08", 2066 => x"ffa40508", 2067 => x"8c08ffac", 2068 => x"05082784", 2069 => x"3880e839", 2070 => x"810b8c08", 2071 => x"ff98050c", 2072 => x"8c08ffa4", 2073 => x"05088c08", 2074 => x"ffac0508", 2075 => x"318c08fe", 2076 => x"f0050c8c", 2077 => x"08ffa005", 2078 => x"088c08ff", 2079 => x"a8050831", 2080 => x"708c08fe", 2081 => x"cc050c54", 2082 => x"8c08ffa4", 2083 => x"05088c08", 2084 => x"fef00508", 2085 => x"278f388c", 2086 => x"08fecc05", 2087 => x"08ff058c", 2088 => x"08fecc05", 2089 => x"0c8c08fe", 2090 => x"cc05088c", 2091 => x"08ffa005", 2092 => x"0c8c08fe", 2093 => x"f005088c", 2094 => x"08ffa405", 2095 => x"0c893980", 2096 => x"0b8c08ff", 2097 => x"98050c80", 2098 => x"0b8c08ff", 2099 => x"94050c8c", 2100 => x"08c80508", 2101 => x"802e8ad9", 2102 => x"388c08ff", 2103 => x"a405088c", 2104 => x"08ffb405", 2105 => x"0c8c08ff", 2106 => x"a005088c", 2107 => x"08ffb005", 2108 => x"0c8c08c8", 2109 => x"0508568c", 2110 => x"08ffb005", 2111 => x"088c08ff", 2112 => x"b4050856", 2113 => x"5473760c", 2114 => x"7484170c", 2115 => x"8aa339a0", 2116 => x"0b8c08ff", 2117 => x"8c050831", 2118 => x"8c08ff90", 2119 => x"050c8c08", 2120 => x"ffa80508", 2121 => x"8c08ff8c", 2122 => x"05082b8c", 2123 => x"08ffac05", 2124 => x"088c08ff", 2125 => x"9005082a", 2126 => x"7072078c", 2127 => x"08ffa805", 2128 => x"0c8c08ff", 2129 => x"ac05088c", 2130 => x"08ff8c05", 2131 => x"082b8c08", 2132 => x"ffac050c", 2133 => x"8c08ffa0", 2134 => x"05088c08", 2135 => x"ff900508", 2136 => x"2a8c08ff", 2137 => x"9c050c8c", 2138 => x"08ffa005", 2139 => x"088c08ff", 2140 => x"8c05082b", 2141 => x"8c08ffa4", 2142 => x"05088c08", 2143 => x"ff900508", 2144 => x"2a707207", 2145 => x"8c08ffa0", 2146 => x"050c8c08", 2147 => x"ffa40508", 2148 => x"8c08ff8c", 2149 => x"05082b8c", 2150 => x"08ffa405", 2151 => x"0c8c08ff", 2152 => x"a8050890", 2153 => x"2a8c08fe", 2154 => x"f8050c8c", 2155 => x"08ffa805", 2156 => x"0883ffff", 2157 => x"068c08fe", 2158 => x"fc050c8c", 2159 => x"08ff9c05", 2160 => x"088c08fe", 2161 => x"f8050857", 2162 => x"70565152", 2163 => x"5255558a", 2164 => x"c03f8008", 2165 => x"708c08ff", 2166 => x"88050c8c", 2167 => x"08fef805", 2168 => x"08538c08", 2169 => x"ff9c0508", 2170 => x"52548a80", 2171 => x"3f800870", 2172 => x"8c08ff80", 2173 => x"050c8c08", 2174 => x"ff800508", 2175 => x"8c08fefc", 2176 => x"05082970", 2177 => x"8c08fee8", 2178 => x"050c8c08", 2179 => x"ff880508", 2180 => x"70902b8c", 2181 => x"08ffa005", 2182 => x"08902a70", 2183 => x"72078c08", 2184 => x"ff88050c", 2185 => x"52585151", 2186 => x"548c08ff", 2187 => x"8805088c", 2188 => x"08fee805", 2189 => x"082780e1", 2190 => x"388c08ff", 2191 => x"800508ff", 2192 => x"058c08ff", 2193 => x"80050c8c", 2194 => x"08ff8805", 2195 => x"088c08ff", 2196 => x"a8050805", 2197 => x"8c08ff88", 2198 => x"050c8c08", 2199 => x"ffa80508", 2200 => x"8c08ff88", 2201 => x"050826b1", 2202 => x"388c08ff", 2203 => x"8805088c", 2204 => x"08fee805", 2205 => x"0827a238", 2206 => x"8c08ff80", 2207 => x"0508ff05", 2208 => x"8c08ff80", 2209 => x"050c8c08", 2210 => x"ff880508", 2211 => x"8c08ffa8", 2212 => x"0508058c", 2213 => x"08ff8805", 2214 => x"0c8c08ff", 2215 => x"8805088c", 2216 => x"08fee805", 2217 => x"08318c08", 2218 => x"ff88050c", 2219 => x"8c08ff88", 2220 => x"05088c08", 2221 => x"fef80508", 2222 => x"53705254", 2223 => x"88d33f80", 2224 => x"08708c08", 2225 => x"feec050c", 2226 => x"8c08fef8", 2227 => x"0508538c", 2228 => x"08ff8805", 2229 => x"08525488", 2230 => x"933f8008", 2231 => x"708c08ff", 2232 => x"84050c8c", 2233 => x"08ff8405", 2234 => x"088c08fe", 2235 => x"fc050829", 2236 => x"708c08fe", 2237 => x"e8050c8c", 2238 => x"08feec05", 2239 => x"0870902b", 2240 => x"8c08ffa0", 2241 => x"050883ff", 2242 => x"ff067072", 2243 => x"078c08fe", 2244 => x"ec050c52", 2245 => x"58515154", 2246 => x"8c08feec", 2247 => x"05088c08", 2248 => x"fee80508", 2249 => x"2780e138", 2250 => x"8c08ff84", 2251 => x"0508ff05", 2252 => x"8c08ff84", 2253 => x"050c8c08", 2254 => x"feec0508", 2255 => x"8c08ffa8", 2256 => x"0508058c", 2257 => x"08feec05", 2258 => x"0c8c08ff", 2259 => x"a805088c", 2260 => x"08feec05", 2261 => x"0826b138", 2262 => x"8c08feec", 2263 => x"05088c08", 2264 => x"fee80508", 2265 => x"27a2388c", 2266 => x"08ff8405", 2267 => x"08ff058c", 2268 => x"08ff8405", 2269 => x"0c8c08fe", 2270 => x"ec05088c", 2271 => x"08ffa805", 2272 => x"08058c08", 2273 => x"feec050c", 2274 => x"8c08feec", 2275 => x"05088c08", 2276 => x"fee80508", 2277 => x"318c08fe", 2278 => x"ec050c8c", 2279 => x"08ff8005", 2280 => x"0870902b", 2281 => x"708c08ff", 2282 => x"84050807", 2283 => x"8c08ff98", 2284 => x"050c8c08", 2285 => x"feec0508", 2286 => x"8c08ffa0", 2287 => x"050c8c08", 2288 => x"ff980508", 2289 => x"83ffff06", 2290 => x"8c08ff80", 2291 => x"050c8c08", 2292 => x"ff980508", 2293 => x"902a8c08", 2294 => x"ff88050c", 2295 => x"8c08ffac", 2296 => x"050883ff", 2297 => x"ff068c08", 2298 => x"ff84050c", 2299 => x"8c08ffac", 2300 => x"0508902a", 2301 => x"8c08fee4", 2302 => x"050c8c08", 2303 => x"ff800508", 2304 => x"8c08ff84", 2305 => x"05082970", 2306 => x"8c08fee8", 2307 => x"050c8c08", 2308 => x"ff800508", 2309 => x"8c08fee4", 2310 => x"05082970", 2311 => x"8c08feec", 2312 => x"050c8c08", 2313 => x"ff880508", 2314 => x"8c08ff84", 2315 => x"05082970", 2316 => x"8c08fef8", 2317 => x"050c8c08", 2318 => x"ff880508", 2319 => x"8c08fee4", 2320 => x"05082970", 2321 => x"8c08fefc", 2322 => x"050c8c08", 2323 => x"fee80508", 2324 => x"902a8c08", 2325 => x"feec0508", 2326 => x"118c08fe", 2327 => x"ec050c8c", 2328 => x"08feec05", 2329 => x"088c08fe", 2330 => x"f8050805", 2331 => x"8c08feec", 2332 => x"050c5151", 2333 => x"51515151", 2334 => x"548c08fe", 2335 => x"ec05088c", 2336 => x"08fef805", 2337 => x"08279138", 2338 => x"8c08fefc", 2339 => x"05088480", 2340 => x"80058c08", 2341 => x"fefc050c", 2342 => x"8c08feec", 2343 => x"0508902a", 2344 => x"8c08fefc", 2345 => x"0508118c", 2346 => x"08fef005", 2347 => x"0c8c08fe", 2348 => x"ec050883", 2349 => x"ffff0670", 2350 => x"902b8c08", 2351 => x"fee80508", 2352 => x"83ffff06", 2353 => x"70128c08", 2354 => x"fef4050c", 2355 => x"52575154", 2356 => x"8c08fef0", 2357 => x"05088c08", 2358 => x"ffa00508", 2359 => x"26a6388c", 2360 => x"08fef005", 2361 => x"088c08ff", 2362 => x"a005082e", 2363 => x"09810680", 2364 => x"fe388c08", 2365 => x"fef40508", 2366 => x"8c08ffa4", 2367 => x"05082684", 2368 => x"3880ec39", 2369 => x"8c08ff98", 2370 => x"0508ff05", 2371 => x"8c08ff98", 2372 => x"050c8c08", 2373 => x"fef40508", 2374 => x"8c08ffac", 2375 => x"0508318c", 2376 => x"08fee405", 2377 => x"0c8c08fe", 2378 => x"f005088c", 2379 => x"08ffa805", 2380 => x"0831708c", 2381 => x"08fec805", 2382 => x"0c548c08", 2383 => x"fef40508", 2384 => x"8c08fee4", 2385 => x"0508278f", 2386 => x"388c08fe", 2387 => x"c80508ff", 2388 => x"058c08fe", 2389 => x"c8050c8c", 2390 => x"08fec805", 2391 => x"088c08fe", 2392 => x"f0050c8c", 2393 => x"08fee405", 2394 => x"088c08fe", 2395 => x"f4050c80", 2396 => x"0b8c08ff", 2397 => x"94050c8c", 2398 => x"08c80508", 2399 => x"802e81b1", 2400 => x"388c08ff", 2401 => x"a405088c", 2402 => x"08fef405", 2403 => x"08318c08", 2404 => x"fee4050c", 2405 => x"8c08ffa0", 2406 => x"05088c08", 2407 => x"fef00508", 2408 => x"31708c08", 2409 => x"fec4050c", 2410 => x"548c08ff", 2411 => x"a405088c", 2412 => x"08fee405", 2413 => x"08278f38", 2414 => x"8c08fec4", 2415 => x"0508ff05", 2416 => x"8c08fec4", 2417 => x"050c8c08", 2418 => x"fec40508", 2419 => x"8c08ffa0", 2420 => x"050c8c08", 2421 => x"fee40508", 2422 => x"8c08ffa4", 2423 => x"050c8c08", 2424 => x"ffa00508", 2425 => x"8c08ff90", 2426 => x"05082b8c", 2427 => x"08ffa405", 2428 => x"088c08ff", 2429 => x"8c05082a", 2430 => x"7072078c", 2431 => x"08ffb405", 2432 => x"0c8c08ff", 2433 => x"a005088c", 2434 => x"08ff8c05", 2435 => x"082a8c08", 2436 => x"ffb0050c", 2437 => x"8c08c805", 2438 => x"08585555", 2439 => x"8c08ffb0", 2440 => x"05088c08", 2441 => x"ffb40508", 2442 => x"56547376", 2443 => x"0c748417", 2444 => x"0c800b8c", 2445 => x"08fedc05", 2446 => x"0c800b8c", 2447 => x"08fee005", 2448 => x"0c8c08ff", 2449 => x"9405088c", 2450 => x"08fedc05", 2451 => x"0c8c08ff", 2452 => x"9805088c", 2453 => x"08fee005", 2454 => x"0c8c08fe", 2455 => x"dc05088c", 2456 => x"08fee005", 2457 => x"08565473", 2458 => x"8c08c005", 2459 => x"0c748c08", 2460 => x"c4050c8c", 2461 => x"08c00508", 2462 => x"8c08c405", 2463 => x"08565473", 2464 => x"8c08dc05", 2465 => x"0c748c08", 2466 => x"e0050c8c", 2467 => x"08fc0508", 2468 => x"802eb338", 2469 => x"8c08c005", 2470 => x"548c08dc", 2471 => x"05088c08", 2472 => x"e0050857", 2473 => x"55745275", 2474 => x"537351d8", 2475 => x"d73f8c08", 2476 => x"c005088c", 2477 => x"08c40508", 2478 => x"5654738c", 2479 => x"08dc050c", 2480 => x"748c08e0", 2481 => x"050c8c08", 2482 => x"dc05088c", 2483 => x"08e00508", 2484 => x"8c088805", 2485 => x"08585654", 2486 => x"73760c74", 2487 => x"84170c8c", 2488 => x"08880508", 2489 => x"800cb63d", 2490 => x"0d8c0c04", 2491 => x"8c08028c", 2492 => x"0cfd3d0d", 2493 => x"80538c08", 2494 => x"8c050852", 2495 => x"8c088805", 2496 => x"085182de", 2497 => x"3f800870", 2498 => x"800c5485", 2499 => x"3d0d8c0c", 2500 => x"048c0802", 2501 => x"8c0cfd3d", 2502 => x"0d81538c", 2503 => x"088c0508", 2504 => x"528c0888", 2505 => x"05085182", 2506 => x"b93f8008", 2507 => x"70800c54", 2508 => x"853d0d8c", 2509 => x"0c048c08", 2510 => x"028c0cf9", 2511 => x"3d0d800b", 2512 => x"8c08fc05", 2513 => x"0c8c0888", 2514 => x"05088025", 2515 => x"ab388c08", 2516 => x"88050830", 2517 => x"8c088805", 2518 => x"0c800b8c", 2519 => x"08f4050c", 2520 => x"8c08fc05", 2521 => x"08883881", 2522 => x"0b8c08f4", 2523 => x"050c8c08", 2524 => x"f405088c", 2525 => x"08fc050c", 2526 => x"8c088c05", 2527 => x"088025ab", 2528 => x"388c088c", 2529 => x"0508308c", 2530 => x"088c050c", 2531 => x"800b8c08", 2532 => x"f0050c8c", 2533 => x"08fc0508", 2534 => x"8838810b", 2535 => x"8c08f005", 2536 => x"0c8c08f0", 2537 => x"05088c08", 2538 => x"fc050c80", 2539 => x"538c088c", 2540 => x"0508528c", 2541 => x"08880508", 2542 => x"5181a73f", 2543 => x"8008708c", 2544 => x"08f8050c", 2545 => x"548c08fc", 2546 => x"0508802e", 2547 => x"8c388c08", 2548 => x"f8050830", 2549 => x"8c08f805", 2550 => x"0c8c08f8", 2551 => x"05087080", 2552 => x"0c54893d", 2553 => x"0d8c0c04", 2554 => x"8c08028c", 2555 => x"0cfb3d0d", 2556 => x"800b8c08", 2557 => x"fc050c8c", 2558 => x"08880508", 2559 => x"80259338", 2560 => x"8c088805", 2561 => x"08308c08", 2562 => x"88050c81", 2563 => x"0b8c08fc", 2564 => x"050c8c08", 2565 => x"8c050880", 2566 => x"258c388c", 2567 => x"088c0508", 2568 => x"308c088c", 2569 => x"050c8153", 2570 => x"8c088c05", 2571 => x"08528c08", 2572 => x"88050851", 2573 => x"ad3f8008", 2574 => x"708c08f8", 2575 => x"050c548c", 2576 => x"08fc0508", 2577 => x"802e8c38", 2578 => x"8c08f805", 2579 => x"08308c08", 2580 => x"f8050c8c", 2581 => x"08f80508", 2582 => x"70800c54", 2583 => x"873d0d8c", 2584 => x"0c048c08", 2585 => x"028c0cfd", 2586 => x"3d0d810b", 2587 => x"8c08fc05", 2588 => x"0c800b8c", 2589 => x"08f8050c", 2590 => x"8c088c05", 2591 => x"088c0888", 2592 => x"050827ac", 2593 => x"388c08fc", 2594 => x"0508802e", 2595 => x"a338800b", 2596 => x"8c088c05", 2597 => x"08249938", 2598 => x"8c088c05", 2599 => x"08108c08", 2600 => x"8c050c8c", 2601 => x"08fc0508", 2602 => x"108c08fc", 2603 => x"050cc939", 2604 => x"8c08fc05", 2605 => x"08802e80", 2606 => x"c9388c08", 2607 => x"8c05088c", 2608 => x"08880508", 2609 => x"26a1388c", 2610 => x"08880508", 2611 => x"8c088c05", 2612 => x"08318c08", 2613 => x"88050c8c", 2614 => x"08f80508", 2615 => x"8c08fc05", 2616 => x"08078c08", 2617 => x"f8050c8c", 2618 => x"08fc0508", 2619 => x"812a8c08", 2620 => x"fc050c8c", 2621 => x"088c0508", 2622 => x"812a8c08", 2623 => x"8c050cff", 2624 => x"af398c08", 2625 => x"90050880", 2626 => x"2e8f388c", 2627 => x"08880508", 2628 => x"708c08f4", 2629 => x"050c518d", 2630 => x"398c08f8", 2631 => x"0508708c", 2632 => x"08f4050c", 2633 => x"518c08f4", 2634 => x"0508800c", 2635 => x"853d0d8c", 2636 => x"0c04ff3d", 2637 => x"0d735281", 2638 => x"81c80851", 2639 => x"963f833d", 2640 => x"0d04ff3d", 2641 => x"0d735281", 2642 => x"81c80851", 2643 => x"90953f83", 2644 => x"3d0d04f3", 2645 => x"3d0d7f61", 2646 => x"8b1170f8", 2647 => x"065c5555", 2648 => x"5e729626", 2649 => x"83389059", 2650 => x"80792474", 2651 => x"7a260753", 2652 => x"80547274", 2653 => x"2e098106", 2654 => x"80cb387d", 2655 => x"518ce33f", 2656 => x"7883f726", 2657 => x"80c63878", 2658 => x"832a7010", 2659 => x"101080f9", 2660 => x"c0058c11", 2661 => x"0859595a", 2662 => x"76782e83", 2663 => x"b0388417", 2664 => x"08fc0656", 2665 => x"8c170888", 2666 => x"1808718c", 2667 => x"120c8812", 2668 => x"0c587517", 2669 => x"84110881", 2670 => x"0784120c", 2671 => x"537d518c", 2672 => x"a23f8817", 2673 => x"5473800c", 2674 => x"8f3d0d04", 2675 => x"78892a79", 2676 => x"832a5b53", 2677 => x"72802ebf", 2678 => x"3878862a", 2679 => x"b8055a84", 2680 => x"7327b438", 2681 => x"80db135a", 2682 => x"947327ab", 2683 => x"38788c2a", 2684 => x"80ee055a", 2685 => x"80d47327", 2686 => x"9e38788f", 2687 => x"2a80f705", 2688 => x"5a82d473", 2689 => x"27913878", 2690 => x"922a80fc", 2691 => x"055a8ad4", 2692 => x"73278438", 2693 => x"80fe5a79", 2694 => x"10101080", 2695 => x"f9c0058c", 2696 => x"11085855", 2697 => x"76752ea3", 2698 => x"38841708", 2699 => x"fc06707a", 2700 => x"31555673", 2701 => x"8f2488d5", 2702 => x"38738025", 2703 => x"fee6388c", 2704 => x"17085776", 2705 => x"752e0981", 2706 => x"06df3881", 2707 => x"1a5a80f9", 2708 => x"d0085776", 2709 => x"80f9c82e", 2710 => x"82c03884", 2711 => x"1708fc06", 2712 => x"707a3155", 2713 => x"56738f24", 2714 => x"81f93880", 2715 => x"f9c80b80", 2716 => x"f9d40c80", 2717 => x"f9c80b80", 2718 => x"f9d00c73", 2719 => x"8025feb2", 2720 => x"3883ff76", 2721 => x"2783df38", 2722 => x"75892a76", 2723 => x"832a5553", 2724 => x"72802ebf", 2725 => x"3875862a", 2726 => x"b8055484", 2727 => x"7327b438", 2728 => x"80db1354", 2729 => x"947327ab", 2730 => x"38758c2a", 2731 => x"80ee0554", 2732 => x"80d47327", 2733 => x"9e38758f", 2734 => x"2a80f705", 2735 => x"5482d473", 2736 => x"27913875", 2737 => x"922a80fc", 2738 => x"05548ad4", 2739 => x"73278438", 2740 => x"80fe5473", 2741 => x"10101080", 2742 => x"f9c00588", 2743 => x"11085658", 2744 => x"74782e86", 2745 => x"cf388415", 2746 => x"08fc0653", 2747 => x"7573278d", 2748 => x"38881508", 2749 => x"5574782e", 2750 => x"098106ea", 2751 => x"388c1508", 2752 => x"80f9c00b", 2753 => x"84050871", 2754 => x"8c1a0c76", 2755 => x"881a0c78", 2756 => x"88130c78", 2757 => x"8c180c5d", 2758 => x"58795380", 2759 => x"7a2483e6", 2760 => x"3872822c", 2761 => x"81712b5c", 2762 => x"537a7c26", 2763 => x"8198387b", 2764 => x"7b065372", 2765 => x"82f13879", 2766 => x"fc068405", 2767 => x"5a7a1070", 2768 => x"7d06545b", 2769 => x"7282e038", 2770 => x"841a5af1", 2771 => x"3988178c", 2772 => x"11085858", 2773 => x"76782e09", 2774 => x"8106fcc2", 2775 => x"38821a5a", 2776 => x"fdec3978", 2777 => x"17798107", 2778 => x"84190c70", 2779 => x"80f9d40c", 2780 => x"7080f9d0", 2781 => x"0c80f9c8", 2782 => x"0b8c120c", 2783 => x"8c110888", 2784 => x"120c7481", 2785 => x"0784120c", 2786 => x"74117571", 2787 => x"0c51537d", 2788 => x"5188d03f", 2789 => x"881754fc", 2790 => x"ac3980f9", 2791 => x"c00b8405", 2792 => x"087a545c", 2793 => x"798025fe", 2794 => x"f83882da", 2795 => x"397a097c", 2796 => x"067080f9", 2797 => x"c00b8405", 2798 => x"0c5c7a10", 2799 => x"5b7a7c26", 2800 => x"85387a85", 2801 => x"b83880f9", 2802 => x"c00b8805", 2803 => x"08708412", 2804 => x"08fc0670", 2805 => x"7c317c72", 2806 => x"268f7225", 2807 => x"0757575c", 2808 => x"5d557280", 2809 => x"2e80db38", 2810 => x"797a1680", 2811 => x"f9b8081b", 2812 => x"90115a55", 2813 => x"575b80f9", 2814 => x"b408ff2e", 2815 => x"8838a08f", 2816 => x"13e08006", 2817 => x"5776527d", 2818 => x"5187d93f", 2819 => x"80085480", 2820 => x"08ff2e90", 2821 => x"38800876", 2822 => x"27829938", 2823 => x"7480f9c0", 2824 => x"2e829138", 2825 => x"80f9c00b", 2826 => x"88050855", 2827 => x"841508fc", 2828 => x"06707a31", 2829 => x"7a72268f", 2830 => x"72250752", 2831 => x"55537283", 2832 => x"e6387479", 2833 => x"81078417", 2834 => x"0c791670", 2835 => x"80f9c00b", 2836 => x"88050c75", 2837 => x"81078412", 2838 => x"0c547e52", 2839 => x"5787843f", 2840 => x"881754fa", 2841 => x"e0397583", 2842 => x"2a705454", 2843 => x"80742481", 2844 => x"9b387282", 2845 => x"2c81712b", 2846 => x"80f9c408", 2847 => x"077080f9", 2848 => x"c00b8405", 2849 => x"0c751010", 2850 => x"1080f9c0", 2851 => x"05881108", 2852 => x"585a5d53", 2853 => x"778c180c", 2854 => x"7488180c", 2855 => x"7688190c", 2856 => x"768c160c", 2857 => x"fcf33979", 2858 => x"7a101010", 2859 => x"80f9c005", 2860 => x"7057595d", 2861 => x"8c150857", 2862 => x"76752ea3", 2863 => x"38841708", 2864 => x"fc06707a", 2865 => x"31555673", 2866 => x"8f2483ca", 2867 => x"38738025", 2868 => x"8481388c", 2869 => x"17085776", 2870 => x"752e0981", 2871 => x"06df3888", 2872 => x"15811b70", 2873 => x"8306555b", 2874 => x"5572c938", 2875 => x"7c830653", 2876 => x"72802efd", 2877 => x"b838ff1d", 2878 => x"f819595d", 2879 => x"88180878", 2880 => x"2eea38fd", 2881 => x"b539831a", 2882 => x"53fc9639", 2883 => x"83147082", 2884 => x"2c81712b", 2885 => x"80f9c408", 2886 => x"077080f9", 2887 => x"c00b8405", 2888 => x"0c761010", 2889 => x"1080f9c0", 2890 => x"05881108", 2891 => x"595b5e51", 2892 => x"53fee139", 2893 => x"80f98408", 2894 => x"17588008", 2895 => x"762e818d", 2896 => x"3880f9b4", 2897 => x"08ff2e83", 2898 => x"ec387376", 2899 => x"311880f9", 2900 => x"840c7387", 2901 => x"06705753", 2902 => x"72802e88", 2903 => x"38887331", 2904 => x"70155556", 2905 => x"76149fff", 2906 => x"06a08071", 2907 => x"31177054", 2908 => x"7f535753", 2909 => x"84ee3f80", 2910 => x"08538008", 2911 => x"ff2e81a0", 2912 => x"3880f984", 2913 => x"08167080", 2914 => x"f9840c74", 2915 => x"7580f9c0", 2916 => x"0b88050c", 2917 => x"74763118", 2918 => x"70810751", 2919 => x"5556587b", 2920 => x"80f9c02e", 2921 => x"839c3879", 2922 => x"8f2682cb", 2923 => x"38810b84", 2924 => x"150c8415", 2925 => x"08fc0670", 2926 => x"7a317a72", 2927 => x"268f7225", 2928 => x"07525553", 2929 => x"72802efc", 2930 => x"f93880db", 2931 => x"3980089f", 2932 => x"ff065372", 2933 => x"feeb3877", 2934 => x"80f9840c", 2935 => x"80f9c00b", 2936 => x"8805087b", 2937 => x"18810784", 2938 => x"120c5580", 2939 => x"f9b00878", 2940 => x"27863877", 2941 => x"80f9b00c", 2942 => x"80f9ac08", 2943 => x"7827fcac", 2944 => x"387780f9", 2945 => x"ac0c8415", 2946 => x"08fc0670", 2947 => x"7a317a72", 2948 => x"268f7225", 2949 => x"07525553", 2950 => x"72802efc", 2951 => x"a5388839", 2952 => x"80745456", 2953 => x"fedb397d", 2954 => x"5183b83f", 2955 => x"800b800c", 2956 => x"8f3d0d04", 2957 => x"73538074", 2958 => x"24a93872", 2959 => x"822c8171", 2960 => x"2b80f9c4", 2961 => x"08077080", 2962 => x"f9c00b84", 2963 => x"050c5d53", 2964 => x"778c180c", 2965 => x"7488180c", 2966 => x"7688190c", 2967 => x"768c160c", 2968 => x"f9b73983", 2969 => x"1470822c", 2970 => x"81712b80", 2971 => x"f9c40807", 2972 => x"7080f9c0", 2973 => x"0b84050c", 2974 => x"5e5153d4", 2975 => x"397b7b06", 2976 => x"5372fca3", 2977 => x"38841a7b", 2978 => x"105c5af1", 2979 => x"39ff1a81", 2980 => x"11515af7", 2981 => x"b9397817", 2982 => x"79810784", 2983 => x"190c8c18", 2984 => x"08881908", 2985 => x"718c120c", 2986 => x"88120c59", 2987 => x"7080f9d4", 2988 => x"0c7080f9", 2989 => x"d00c80f9", 2990 => x"c80b8c12", 2991 => x"0c8c1108", 2992 => x"88120c74", 2993 => x"81078412", 2994 => x"0c741175", 2995 => x"710c5153", 2996 => x"f9bd3975", 2997 => x"17841108", 2998 => x"81078412", 2999 => x"0c538c17", 3000 => x"08881808", 3001 => x"718c120c", 3002 => x"88120c58", 3003 => x"7d5181f3", 3004 => x"3f881754", 3005 => x"f5cf3972", 3006 => x"84150cf4", 3007 => x"1af80670", 3008 => x"841e0881", 3009 => x"0607841e", 3010 => x"0c701d54", 3011 => x"5b850b84", 3012 => x"140c850b", 3013 => x"88140c8f", 3014 => x"7b27fdcf", 3015 => x"38881c52", 3016 => x"7d5184bf", 3017 => x"3f80f9c0", 3018 => x"0b880508", 3019 => x"80f98408", 3020 => x"5955fdb7", 3021 => x"397780f9", 3022 => x"840c7380", 3023 => x"f9b40cfc", 3024 => x"91397284", 3025 => x"150cfda3", 3026 => x"39fc3d0d", 3027 => x"7670797b", 3028 => x"55555555", 3029 => x"8f72278c", 3030 => x"38727507", 3031 => x"83065170", 3032 => x"802ea738", 3033 => x"ff125271", 3034 => x"ff2e9838", 3035 => x"72708105", 3036 => x"54337470", 3037 => x"81055634", 3038 => x"ff125271", 3039 => x"ff2e0981", 3040 => x"06ea3874", 3041 => x"800c863d", 3042 => x"0d047451", 3043 => x"72708405", 3044 => x"54087170", 3045 => x"8405530c", 3046 => x"72708405", 3047 => x"54087170", 3048 => x"8405530c", 3049 => x"72708405", 3050 => x"54087170", 3051 => x"8405530c", 3052 => x"72708405", 3053 => x"54087170", 3054 => x"8405530c", 3055 => x"f0125271", 3056 => x"8f26c938", 3057 => x"83722795", 3058 => x"38727084", 3059 => x"05540871", 3060 => x"70840553", 3061 => x"0cfc1252", 3062 => x"718326ed", 3063 => x"387054ff", 3064 => x"83390404", 3065 => x"fd3d0d80", 3066 => x"0b81d998", 3067 => x"0c765187", 3068 => x"c83f8008", 3069 => x"538008ff", 3070 => x"2e883872", 3071 => x"800c853d", 3072 => x"0d0481d9", 3073 => x"98085473", 3074 => x"802ef038", 3075 => x"7574710c", 3076 => x"5272800c", 3077 => x"853d0d04", 3078 => x"fb3d0d77", 3079 => x"79707207", 3080 => x"83065354", 3081 => x"52709338", 3082 => x"71737308", 3083 => x"54565471", 3084 => x"73082e80", 3085 => x"c4387375", 3086 => x"54527133", 3087 => x"7081ff06", 3088 => x"52547080", 3089 => x"2e9d3872", 3090 => x"33557075", 3091 => x"2e098106", 3092 => x"95388112", 3093 => x"81147133", 3094 => x"7081ff06", 3095 => x"54565452", 3096 => x"70e53872", 3097 => x"33557381", 3098 => x"ff067581", 3099 => x"ff067171", 3100 => x"31800c52", 3101 => x"52873d0d", 3102 => x"04710970", 3103 => x"f7fbfdff", 3104 => x"140670f8", 3105 => x"84828180", 3106 => x"06515151", 3107 => x"70973884", 3108 => x"14841671", 3109 => x"08545654", 3110 => x"7175082e", 3111 => x"dc387375", 3112 => x"5452ff96", 3113 => x"39800b80", 3114 => x"0c873d0d", 3115 => x"04fb3d0d", 3116 => x"77705256", 3117 => x"feac3f80", 3118 => x"f9c00b88", 3119 => x"05088411", 3120 => x"08fc0670", 3121 => x"7b319fef", 3122 => x"05e08006", 3123 => x"e0800556", 3124 => x"5653a080", 3125 => x"74249438", 3126 => x"80527551", 3127 => x"fe863f80", 3128 => x"f9c80815", 3129 => x"53728008", 3130 => x"2e8f3875", 3131 => x"51fdf43f", 3132 => x"80537280", 3133 => x"0c873d0d", 3134 => x"04733052", 3135 => x"7551fde4", 3136 => x"3f8008ff", 3137 => x"2ea83880", 3138 => x"f9c00b88", 3139 => x"05087575", 3140 => x"31810784", 3141 => x"120c5380", 3142 => x"f9840874", 3143 => x"3180f984", 3144 => x"0c7551fd", 3145 => x"be3f810b", 3146 => x"800c873d", 3147 => x"0d048052", 3148 => x"7551fdb0", 3149 => x"3f80f9c0", 3150 => x"0b880508", 3151 => x"80087131", 3152 => x"56538f75", 3153 => x"25ffa438", 3154 => x"800880f9", 3155 => x"b4083180", 3156 => x"f9840c74", 3157 => x"81078414", 3158 => x"0c7551fd", 3159 => x"863f8053", 3160 => x"ff9039f6", 3161 => x"3d0d7c7e", 3162 => x"545b7280", 3163 => x"2e828338", 3164 => x"7a51fcee", 3165 => x"3ff81384", 3166 => x"110870fe", 3167 => x"06701384", 3168 => x"1108fc06", 3169 => x"5d585954", 3170 => x"5880f9c8", 3171 => x"08752e82", 3172 => x"de387884", 3173 => x"160c8073", 3174 => x"8106545a", 3175 => x"727a2e81", 3176 => x"d5387815", 3177 => x"84110881", 3178 => x"06515372", 3179 => x"a0387817", 3180 => x"577981e6", 3181 => x"38881508", 3182 => x"537280f9", 3183 => x"c82e82f9", 3184 => x"388c1508", 3185 => x"708c150c", 3186 => x"7388120c", 3187 => x"56768107", 3188 => x"84190c76", 3189 => x"1877710c", 3190 => x"53798191", 3191 => x"3883ff77", 3192 => x"2781c838", 3193 => x"76892a77", 3194 => x"832a5653", 3195 => x"72802ebf", 3196 => x"3876862a", 3197 => x"b8055584", 3198 => x"7327b438", 3199 => x"80db1355", 3200 => x"947327ab", 3201 => x"38768c2a", 3202 => x"80ee0555", 3203 => x"80d47327", 3204 => x"9e38768f", 3205 => x"2a80f705", 3206 => x"5582d473", 3207 => x"27913876", 3208 => x"922a80fc", 3209 => x"05558ad4", 3210 => x"73278438", 3211 => x"80fe5574", 3212 => x"10101080", 3213 => x"f9c00588", 3214 => x"11085556", 3215 => x"73762e82", 3216 => x"b3388414", 3217 => x"08fc0653", 3218 => x"7673278d", 3219 => x"38881408", 3220 => x"5473762e", 3221 => x"098106ea", 3222 => x"388c1408", 3223 => x"708c1a0c", 3224 => x"74881a0c", 3225 => x"7888120c", 3226 => x"56778c15", 3227 => x"0c7a51fa", 3228 => x"f23f8c3d", 3229 => x"0d047708", 3230 => x"78713159", 3231 => x"77058819", 3232 => x"08545772", 3233 => x"80f9c82e", 3234 => x"80e0388c", 3235 => x"1808708c", 3236 => x"150c7388", 3237 => x"120c56fe", 3238 => x"89398815", 3239 => x"088c1608", 3240 => x"708c130c", 3241 => x"5788170c", 3242 => x"fea33976", 3243 => x"832a7054", 3244 => x"55807524", 3245 => x"81983872", 3246 => x"822c8171", 3247 => x"2b80f9c4", 3248 => x"080780f9", 3249 => x"c00b8405", 3250 => x"0c537410", 3251 => x"101080f9", 3252 => x"c0058811", 3253 => x"08555675", 3254 => x"8c190c73", 3255 => x"88190c77", 3256 => x"88170c77", 3257 => x"8c150cff", 3258 => x"8439815a", 3259 => x"fdb43978", 3260 => x"17738106", 3261 => x"54577298", 3262 => x"38770878", 3263 => x"71315977", 3264 => x"058c1908", 3265 => x"881a0871", 3266 => x"8c120c88", 3267 => x"120c5757", 3268 => x"76810784", 3269 => x"190c7780", 3270 => x"f9c00b88", 3271 => x"050c80f9", 3272 => x"bc087726", 3273 => x"fec73880", 3274 => x"f9b80852", 3275 => x"7a51fafd", 3276 => x"3f7a51f9", 3277 => x"ae3ffeba", 3278 => x"3981788c", 3279 => x"150c7888", 3280 => x"150c738c", 3281 => x"1a0c7388", 3282 => x"1a0c5afd", 3283 => x"80398315", 3284 => x"70822c81", 3285 => x"712b80f9", 3286 => x"c4080780", 3287 => x"f9c00b84", 3288 => x"050c5153", 3289 => x"74101010", 3290 => x"80f9c005", 3291 => x"88110855", 3292 => x"56fee439", 3293 => x"74538075", 3294 => x"24a73872", 3295 => x"822c8171", 3296 => x"2b80f9c4", 3297 => x"080780f9", 3298 => x"c00b8405", 3299 => x"0c53758c", 3300 => x"190c7388", 3301 => x"190c7788", 3302 => x"170c778c", 3303 => x"150cfdcd", 3304 => x"39831570", 3305 => x"822c8171", 3306 => x"2b80f9c4", 3307 => x"080780f9", 3308 => x"c00b8405", 3309 => x"0c5153d6", 3310 => x"39fe3d0d", 3311 => x"8188f008", 3312 => x"51708a38", 3313 => x"81d99c70", 3314 => x"8188f00c", 3315 => x"51707512", 3316 => x"5252ff53", 3317 => x"7087fb80", 3318 => x"80268838", 3319 => x"708188f0", 3320 => x"0c715372", 3321 => x"800c843d", 3322 => x"0d04fd3d", 3323 => x"0d800b80", 3324 => x"f8ec0854", 3325 => x"5472812e", 3326 => x"9e387381", 3327 => x"88f40cff", 3328 => x"a0ff3fff", 3329 => x"9ffa3f81", 3330 => x"88c85281", 3331 => x"51ffa9ae", 3332 => x"3f800851", 3333 => x"80e13f72", 3334 => x"8188f40c", 3335 => x"ffa0e23f", 3336 => x"ff9fdd3f", 3337 => x"8188c852", 3338 => x"8151ffa9", 3339 => x"913f8008", 3340 => x"5180c43f", 3341 => x"00ff3900", 3342 => x"ff39f43d", 3343 => x"0d7e8188", 3344 => x"e8087008", 3345 => x"7081ff06", 3346 => x"923df805", 3347 => x"55515a57", 3348 => x"59ffa19a", 3349 => x"3f805477", 3350 => x"557b7d58", 3351 => x"5276538e", 3352 => x"3df00551", 3353 => x"c0c03f79", 3354 => x"7b58790c", 3355 => x"76841a0c", 3356 => x"78800c8e", 3357 => x"3d0d04f7", 3358 => x"3d0d7b81", 3359 => x"81c80882", 3360 => x"c811085a", 3361 => x"545a7780", 3362 => x"2e80da38", 3363 => x"81881884", 3364 => x"1908ff05", 3365 => x"81712b59", 3366 => x"55598074", 3367 => x"2480ea38", 3368 => x"807424b5", 3369 => x"3873822b", 3370 => x"78118805", 3371 => x"56568180", 3372 => x"19087706", 3373 => x"5372802e", 3374 => x"b6387816", 3375 => x"70085353", 3376 => x"79517408", 3377 => x"53722dff", 3378 => x"14fc17fc", 3379 => x"1779812c", 3380 => x"5a575754", 3381 => x"738025d6", 3382 => x"38770858", 3383 => x"77ffad38", 3384 => x"8181c808", 3385 => x"53bc1308", 3386 => x"a5387951", 3387 => x"fec63f74", 3388 => x"0853722d", 3389 => x"ff14fc17", 3390 => x"fc177981", 3391 => x"2c5a5757", 3392 => x"54738025", 3393 => x"ffa838d1", 3394 => x"398057ff", 3395 => x"93397251", 3396 => x"bc130853", 3397 => x"722d7951", 3398 => x"fe9a3fff", 3399 => x"3d0d8188", 3400 => x"d00bfc05", 3401 => x"70085252", 3402 => x"70ff2e91", 3403 => x"38702dfc", 3404 => x"12700852", 3405 => x"5270ff2e", 3406 => x"098106f1", 3407 => x"38833d0d", 3408 => x"0404ffa0", 3409 => x"873f0400", 3410 => x"00000040", 3411 => x"30313233", 3412 => x"34353637", 3413 => x"38390000", 3414 => x"44485259", 3415 => x"53544f4e", 3416 => x"45205052", 3417 => x"4f475241", 3418 => x"4d2c2053", 3419 => x"4f4d4520", 3420 => x"53545249", 3421 => x"4e470000", 3422 => x"44485259", 3423 => x"53544f4e", 3424 => x"45205052", 3425 => x"4f475241", 3426 => x"4d2c2031", 3427 => x"27535420", 3428 => x"53545249", 3429 => x"4e470000", 3430 => x"44687279", 3431 => x"73746f6e", 3432 => x"65204265", 3433 => x"6e63686d", 3434 => x"61726b2c", 3435 => x"20566572", 3436 => x"73696f6e", 3437 => x"20322e31", 3438 => x"20284c61", 3439 => x"6e677561", 3440 => x"67653a20", 3441 => x"43290a00", 3442 => x"50726f67", 3443 => x"72616d20", 3444 => x"636f6d70", 3445 => x"696c6564", 3446 => x"20776974", 3447 => x"68202772", 3448 => x"65676973", 3449 => x"74657227", 3450 => x"20617474", 3451 => x"72696275", 3452 => x"74650a00", 3453 => x"45786563", 3454 => x"7574696f", 3455 => x"6e207374", 3456 => x"61727473", 3457 => x"2c202564", 3458 => x"2072756e", 3459 => x"73207468", 3460 => x"726f7567", 3461 => x"68204468", 3462 => x"72797374", 3463 => x"6f6e650a", 3464 => x"00000000", 3465 => x"44485259", 3466 => x"53544f4e", 3467 => x"45205052", 3468 => x"4f475241", 3469 => x"4d2c2032", 3470 => x"274e4420", 3471 => x"53545249", 3472 => x"4e470000", 3473 => x"45786563", 3474 => x"7574696f", 3475 => x"6e20656e", 3476 => x"64730a00", 3477 => x"46696e61", 3478 => x"6c207661", 3479 => x"6c756573", 3480 => x"206f6620", 3481 => x"74686520", 3482 => x"76617269", 3483 => x"61626c65", 3484 => x"73207573", 3485 => x"65642069", 3486 => x"6e207468", 3487 => x"65206265", 3488 => x"6e63686d", 3489 => x"61726b3a", 3490 => x"0a000000", 3491 => x"496e745f", 3492 => x"476c6f62", 3493 => x"3a202020", 3494 => x"20202020", 3495 => x"20202020", 3496 => x"2025640a", 3497 => x"00000000", 3498 => x"20202020", 3499 => x"20202020", 3500 => x"73686f75", 3501 => x"6c642062", 3502 => x"653a2020", 3503 => x"2025640a", 3504 => x"00000000", 3505 => x"426f6f6c", 3506 => x"5f476c6f", 3507 => x"623a2020", 3508 => x"20202020", 3509 => x"20202020", 3510 => x"2025640a", 3511 => x"00000000", 3512 => x"43685f31", 3513 => x"5f476c6f", 3514 => x"623a2020", 3515 => x"20202020", 3516 => x"20202020", 3517 => x"2025630a", 3518 => x"00000000", 3519 => x"20202020", 3520 => x"20202020", 3521 => x"73686f75", 3522 => x"6c642062", 3523 => x"653a2020", 3524 => x"2025630a", 3525 => x"00000000", 3526 => x"43685f32", 3527 => x"5f476c6f", 3528 => x"623a2020", 3529 => x"20202020", 3530 => x"20202020", 3531 => x"2025630a", 3532 => x"00000000", 3533 => x"4172725f", 3534 => x"315f476c", 3535 => x"6f625b38", 3536 => x"5d3a2020", 3537 => x"20202020", 3538 => x"2025640a", 3539 => x"00000000", 3540 => x"4172725f", 3541 => x"325f476c", 3542 => x"6f625b38", 3543 => x"5d5b375d", 3544 => x"3a202020", 3545 => x"2025640a", 3546 => x"00000000", 3547 => x"20202020", 3548 => x"20202020", 3549 => x"73686f75", 3550 => x"6c642062", 3551 => x"653a2020", 3552 => x"204e756d", 3553 => x"6265725f", 3554 => x"4f665f52", 3555 => x"756e7320", 3556 => x"2b203130", 3557 => x"0a000000", 3558 => x"5074725f", 3559 => x"476c6f62", 3560 => x"2d3e0a00", 3561 => x"20205074", 3562 => x"725f436f", 3563 => x"6d703a20", 3564 => x"20202020", 3565 => x"20202020", 3566 => x"2025640a", 3567 => x"00000000", 3568 => x"20202020", 3569 => x"20202020", 3570 => x"73686f75", 3571 => x"6c642062", 3572 => x"653a2020", 3573 => x"2028696d", 3574 => x"706c656d", 3575 => x"656e7461", 3576 => x"74696f6e", 3577 => x"2d646570", 3578 => x"656e6465", 3579 => x"6e74290a", 3580 => x"00000000", 3581 => x"20204469", 3582 => x"7363723a", 3583 => x"20202020", 3584 => x"20202020", 3585 => x"20202020", 3586 => x"2025640a", 3587 => x"00000000", 3588 => x"2020456e", 3589 => x"756d5f43", 3590 => x"6f6d703a", 3591 => x"20202020", 3592 => x"20202020", 3593 => x"2025640a", 3594 => x"00000000", 3595 => x"2020496e", 3596 => x"745f436f", 3597 => x"6d703a20", 3598 => x"20202020", 3599 => x"20202020", 3600 => x"2025640a", 3601 => x"00000000", 3602 => x"20205374", 3603 => x"725f436f", 3604 => x"6d703a20", 3605 => x"20202020", 3606 => x"20202020", 3607 => x"2025730a", 3608 => x"00000000", 3609 => x"20202020", 3610 => x"20202020", 3611 => x"73686f75", 3612 => x"6c642062", 3613 => x"653a2020", 3614 => x"20444852", 3615 => x"5953544f", 3616 => x"4e452050", 3617 => x"524f4752", 3618 => x"414d2c20", 3619 => x"534f4d45", 3620 => x"20535452", 3621 => x"494e470a", 3622 => x"00000000", 3623 => x"4e657874", 3624 => x"5f507472", 3625 => x"5f476c6f", 3626 => x"622d3e0a", 3627 => x"00000000", 3628 => x"20202020", 3629 => x"20202020", 3630 => x"73686f75", 3631 => x"6c642062", 3632 => x"653a2020", 3633 => x"2028696d", 3634 => x"706c656d", 3635 => x"656e7461", 3636 => x"74696f6e", 3637 => x"2d646570", 3638 => x"656e6465", 3639 => x"6e74292c", 3640 => x"2073616d", 3641 => x"65206173", 3642 => x"2061626f", 3643 => x"76650a00", 3644 => x"496e745f", 3645 => x"315f4c6f", 3646 => x"633a2020", 3647 => x"20202020", 3648 => x"20202020", 3649 => x"2025640a", 3650 => x"00000000", 3651 => x"496e745f", 3652 => x"325f4c6f", 3653 => x"633a2020", 3654 => x"20202020", 3655 => x"20202020", 3656 => x"2025640a", 3657 => x"00000000", 3658 => x"496e745f", 3659 => x"335f4c6f", 3660 => x"633a2020", 3661 => x"20202020", 3662 => x"20202020", 3663 => x"2025640a", 3664 => x"00000000", 3665 => x"456e756d", 3666 => x"5f4c6f63", 3667 => x"3a202020", 3668 => x"20202020", 3669 => x"20202020", 3670 => x"2025640a", 3671 => x"00000000", 3672 => x"5374725f", 3673 => x"315f4c6f", 3674 => x"633a2020", 3675 => x"20202020", 3676 => x"20202020", 3677 => x"2025730a", 3678 => x"00000000", 3679 => x"20202020", 3680 => x"20202020", 3681 => x"73686f75", 3682 => x"6c642062", 3683 => x"653a2020", 3684 => x"20444852", 3685 => x"5953544f", 3686 => x"4e452050", 3687 => x"524f4752", 3688 => x"414d2c20", 3689 => x"31275354", 3690 => x"20535452", 3691 => x"494e470a", 3692 => x"00000000", 3693 => x"5374725f", 3694 => x"325f4c6f", 3695 => x"633a2020", 3696 => x"20202020", 3697 => x"20202020", 3698 => x"2025730a", 3699 => x"00000000", 3700 => x"20202020", 3701 => x"20202020", 3702 => x"73686f75", 3703 => x"6c642062", 3704 => x"653a2020", 3705 => x"20444852", 3706 => x"5953544f", 3707 => x"4e452050", 3708 => x"524f4752", 3709 => x"414d2c20", 3710 => x"32274e44", 3711 => x"20535452", 3712 => x"494e470a", 3713 => x"00000000", 3714 => x"55736572", 3715 => x"2074696d", 3716 => x"653a2025", 3717 => x"640a0000", 3718 => x"4d696372", 3719 => x"6f736563", 3720 => x"6f6e6473", 3721 => x"20666f72", 3722 => x"206f6e65", 3723 => x"2072756e", 3724 => x"20746872", 3725 => x"6f756768", 3726 => x"20446872", 3727 => x"7973746f", 3728 => x"6e653a20", 3729 => x"00000000", 3730 => x"2564200a", 3731 => x"00000000", 3732 => x"44687279", 3733 => x"73746f6e", 3734 => x"65732070", 3735 => x"65722053", 3736 => x"65636f6e", 3737 => x"643a2020", 3738 => x"20202020", 3739 => x"20202020", 3740 => x"20202020", 3741 => x"20202020", 3742 => x"20202020", 3743 => x"00000000", 3744 => x"56415820", 3745 => x"4d495053", 3746 => x"20726174", 3747 => x"696e6720", 3748 => x"2a203130", 3749 => x"3030203d", 3750 => x"20256420", 3751 => x"0a000000", 3752 => x"50726f67", 3753 => x"72616d20", 3754 => x"636f6d70", 3755 => x"696c6564", 3756 => x"20776974", 3757 => x"686f7574", 3758 => x"20277265", 3759 => x"67697374", 3760 => x"65722720", 3761 => x"61747472", 3762 => x"69627574", 3763 => x"650a0000", 3764 => x"4d656173", 3765 => x"75726564", 3766 => x"2074696d", 3767 => x"6520746f", 3768 => x"6f20736d", 3769 => x"616c6c20", 3770 => x"746f206f", 3771 => x"62746169", 3772 => x"6e206d65", 3773 => x"616e696e", 3774 => x"6766756c", 3775 => x"20726573", 3776 => x"756c7473", 3777 => x"0a000000", 3778 => x"506c6561", 3779 => x"73652069", 3780 => x"6e637265", 3781 => x"61736520", 3782 => x"6e756d62", 3783 => x"6572206f", 3784 => x"66207275", 3785 => x"6e730a00", 3786 => x"44485259", 3787 => x"53544f4e", 3788 => x"45205052", 3789 => x"4f475241", 3790 => x"4d2c2033", 3791 => x"27524420", 3792 => x"53545249", 3793 => x"4e470000", 3794 => x"00010202", 3795 => x"03030303", 3796 => x"04040404", 3797 => x"04040404", 3798 => x"05050505", 3799 => x"05050505", 3800 => x"05050505", 3801 => x"05050505", 3802 => x"06060606", 3803 => x"06060606", 3804 => x"06060606", 3805 => x"06060606", 3806 => x"06060606", 3807 => x"06060606", 3808 => x"06060606", 3809 => x"06060606", 3810 => x"07070707", 3811 => x"07070707", 3812 => x"07070707", 3813 => x"07070707", 3814 => x"07070707", 3815 => x"07070707", 3816 => x"07070707", 3817 => x"07070707", 3818 => x"07070707", 3819 => x"07070707", 3820 => x"07070707", 3821 => x"07070707", 3822 => x"07070707", 3823 => x"07070707", 3824 => x"07070707", 3825 => x"07070707", 3826 => x"08080808", 3827 => x"08080808", 3828 => x"08080808", 3829 => x"08080808", 3830 => x"08080808", 3831 => x"08080808", 3832 => x"08080808", 3833 => x"08080808", 3834 => x"08080808", 3835 => x"08080808", 3836 => x"08080808", 3837 => x"08080808", 3838 => x"08080808", 3839 => x"08080808", 3840 => x"08080808", 3841 => x"08080808", 3842 => x"08080808", 3843 => x"08080808", 3844 => x"08080808", 3845 => x"08080808", 3846 => x"08080808", 3847 => x"08080808", 3848 => x"08080808", 3849 => x"08080808", 3850 => x"08080808", 3851 => x"08080808", 3852 => x"08080808", 3853 => x"08080808", 3854 => x"08080808", 3855 => x"08080808", 3856 => x"08080808", 3857 => x"08080808", 3858 => x"43000000", 3859 => x"64756d6d", 3860 => x"792e6578", 3861 => x"65000000", 3862 => x"00ffffff", 3863 => x"ff00ffff", 3864 => x"ffff00ff", 3865 => x"ffffff00", 3866 => x"00000000", 3867 => x"00000000", 3868 => x"00000000", 3869 => x"00004458", 3870 => x"0000000a", 3871 => x"00000000", 3872 => x"00000032", 3873 => x"00000000", 3874 => x"00000000", 3875 => x"00000000", 3876 => x"00000000", 3877 => x"00000000", 3878 => x"00000000", 3879 => x"00000000", 3880 => x"00000000", 3881 => x"00000000", 3882 => x"00000000", 3883 => x"00000000", 3884 => x"00000000", 3885 => x"ffffffff", 3886 => x"00000000", 3887 => x"00020000", 3888 => x"00000000", 3889 => x"00000000", 3890 => x"00003cc0", 3891 => x"00003cc0", 3892 => x"00003cc8", 3893 => x"00003cc8", 3894 => x"00003cd0", 3895 => x"00003cd0", 3896 => x"00003cd8", 3897 => x"00003cd8", 3898 => x"00003ce0", 3899 => x"00003ce0", 3900 => x"00003ce8", 3901 => x"00003ce8", 3902 => x"00003cf0", 3903 => x"00003cf0", 3904 => x"00003cf8", 3905 => x"00003cf8", 3906 => x"00003d00", 3907 => x"00003d00", 3908 => x"00003d08", 3909 => x"00003d08", 3910 => x"00003d10", 3911 => x"00003d10", 3912 => x"00003d18", 3913 => x"00003d18", 3914 => x"00003d20", 3915 => x"00003d20", 3916 => x"00003d28", 3917 => x"00003d28", 3918 => x"00003d30", 3919 => x"00003d30", 3920 => x"00003d38", 3921 => x"00003d38", 3922 => x"00003d40", 3923 => x"00003d40", 3924 => x"00003d48", 3925 => x"00003d48", 3926 => x"00003d50", 3927 => x"00003d50", 3928 => x"00003d58", 3929 => x"00003d58", 3930 => x"00003d60", 3931 => x"00003d60", 3932 => x"00003d68", 3933 => x"00003d68", 3934 => x"00003d70", 3935 => x"00003d70", 3936 => x"00003d78", 3937 => x"00003d78", 3938 => x"00003d80", 3939 => x"00003d80", 3940 => x"00003d88", 3941 => x"00003d88", 3942 => x"00003d90", 3943 => x"00003d90", 3944 => x"00003d98", 3945 => x"00003d98", 3946 => x"00003da0", 3947 => x"00003da0", 3948 => x"00003da8", 3949 => x"00003da8", 3950 => x"00003db0", 3951 => x"00003db0", 3952 => x"00003db8", 3953 => x"00003db8", 3954 => x"00003dc0", 3955 => x"00003dc0", 3956 => x"00003dc8", 3957 => x"00003dc8", 3958 => x"00003dd0", 3959 => x"00003dd0", 3960 => x"00003dd8", 3961 => x"00003dd8", 3962 => x"00003de0", 3963 => x"00003de0", 3964 => x"00003de8", 3965 => x"00003de8", 3966 => x"00003df0", 3967 => x"00003df0", 3968 => x"00003df8", 3969 => x"00003df8", 3970 => x"00003e00", 3971 => x"00003e00", 3972 => x"00003e08", 3973 => x"00003e08", 3974 => x"00003e10", 3975 => x"00003e10", 3976 => x"00003e18", 3977 => x"00003e18", 3978 => x"00003e20", 3979 => x"00003e20", 3980 => x"00003e28", 3981 => x"00003e28", 3982 => x"00003e30", 3983 => x"00003e30", 3984 => x"00003e38", 3985 => x"00003e38", 3986 => x"00003e40", 3987 => x"00003e40", 3988 => x"00003e48", 3989 => x"00003e48", 3990 => x"00003e50", 3991 => x"00003e50", 3992 => x"00003e58", 3993 => x"00003e58", 3994 => x"00003e60", 3995 => x"00003e60", 3996 => x"00003e68", 3997 => x"00003e68", 3998 => x"00003e70", 3999 => x"00003e70", 4000 => x"00003e78", 4001 => x"00003e78", 4002 => x"00003e80", 4003 => x"00003e80", 4004 => x"00003e88", 4005 => x"00003e88", 4006 => x"00003e90", 4007 => x"00003e90", 4008 => x"00003e98", 4009 => x"00003e98", 4010 => x"00003ea0", 4011 => x"00003ea0", 4012 => x"00003ea8", 4013 => x"00003ea8", 4014 => x"00003eb0", 4015 => x"00003eb0", 4016 => x"00003eb8", 4017 => x"00003eb8", 4018 => x"00003ec0", 4019 => x"00003ec0", 4020 => x"00003ec8", 4021 => x"00003ec8", 4022 => x"00003ed0", 4023 => x"00003ed0", 4024 => x"00003ed8", 4025 => x"00003ed8", 4026 => x"00003ee0", 4027 => x"00003ee0", 4028 => x"00003ee8", 4029 => x"00003ee8", 4030 => x"00003ef0", 4031 => x"00003ef0", 4032 => x"00003ef8", 4033 => x"00003ef8", 4034 => x"00003f00", 4035 => x"00003f00", 4036 => x"00003f08", 4037 => x"00003f08", 4038 => x"00003f10", 4039 => x"00003f10", 4040 => x"00003f18", 4041 => x"00003f18", 4042 => x"00003f20", 4043 => x"00003f20", 4044 => x"00003f28", 4045 => x"00003f28", 4046 => x"00003f30", 4047 => x"00003f30", 4048 => x"00003f38", 4049 => x"00003f38", 4050 => x"00003f40", 4051 => x"00003f40", 4052 => x"00003f48", 4053 => x"00003f48", 4054 => x"00003f50", 4055 => x"00003f50", 4056 => x"00003f58", 4057 => x"00003f58", 4058 => x"00003f60", 4059 => x"00003f60", 4060 => x"00003f68", 4061 => x"00003f68", 4062 => x"00003f70", 4063 => x"00003f70", 4064 => x"00003f78", 4065 => x"00003f78", 4066 => x"00003f80", 4067 => x"00003f80", 4068 => x"00003f88", 4069 => x"00003f88", 4070 => x"00003f90", 4071 => x"00003f90", 4072 => x"00003f98", 4073 => x"00003f98", 4074 => x"00003fa0", 4075 => x"00003fa0", 4076 => x"00003fa8", 4077 => x"00003fa8", 4078 => x"00003fb0", 4079 => x"00003fb0", 4080 => x"00003fb8", 4081 => x"00003fb8", 4082 => x"00003fc0", 4083 => x"00003fc0", 4084 => x"00003fc8", 4085 => x"00003fc8", 4086 => x"00003fd0", 4087 => x"00003fd0", 4088 => x"00003fd8", 4089 => x"00003fd8", 4090 => x"00003fe0", 4091 => x"00003fe0", 4092 => x"00003fe8", 4093 => x"00003fe8", 4094 => x"00003ff0", 4095 => x"00003ff0", 4096 => x"00003ff8", 4097 => x"00003ff8", 4098 => x"00004000", 4099 => x"00004000", 4100 => x"00004008", 4101 => x"00004008", 4102 => x"00004010", 4103 => x"00004010", 4104 => x"00004018", 4105 => x"00004018", 4106 => x"00004020", 4107 => x"00004020", 4108 => x"00004028", 4109 => x"00004028", 4110 => x"00004030", 4111 => x"00004030", 4112 => x"00004038", 4113 => x"00004038", 4114 => x"00004040", 4115 => x"00004040", 4116 => x"00004048", 4117 => x"00004048", 4118 => x"00004050", 4119 => x"00004050", 4120 => x"00004058", 4121 => x"00004058", 4122 => x"00004060", 4123 => x"00004060", 4124 => x"00004068", 4125 => x"00004068", 4126 => x"00004070", 4127 => x"00004070", 4128 => x"00004078", 4129 => x"00004078", 4130 => x"00004080", 4131 => x"00004080", 4132 => x"00004088", 4133 => x"00004088", 4134 => x"00004090", 4135 => x"00004090", 4136 => x"00004098", 4137 => x"00004098", 4138 => x"000040a0", 4139 => x"000040a0", 4140 => x"000040a8", 4141 => x"000040a8", 4142 => x"000040b0", 4143 => x"000040b0", 4144 => x"000040b8", 4145 => x"000040b8", 4146 => x"000040cc", 4147 => x"00000000", 4148 => x"00004334", 4149 => x"00004390", 4150 => x"000043ec", 4151 => x"00000000", 4152 => x"00000000", 4153 => x"00000000", 4154 => x"00000000", 4155 => x"00000000", 4156 => x"00000000", 4157 => x"00000000", 4158 => x"00000000", 4159 => x"00000000", 4160 => x"00003c48", 4161 => x"00000000", 4162 => x"00000000", 4163 => x"00000000", 4164 => x"00000000", 4165 => x"00000000", 4166 => x"00000000", 4167 => x"00000000", 4168 => x"00000000", 4169 => x"00000000", 4170 => x"00000000", 4171 => x"00000000", 4172 => x"00000000", 4173 => x"00000000", 4174 => x"00000000", 4175 => x"00000000", 4176 => x"00000000", 4177 => x"00000000", 4178 => x"00000000", 4179 => x"00000000", 4180 => x"00000000", 4181 => x"00000000", 4182 => x"00000000", 4183 => x"00000000", 4184 => x"00000000", 4185 => x"00000000", 4186 => x"00000000", 4187 => x"00000000", 4188 => x"00000000", 4189 => x"00000001", 4190 => x"330eabcd", 4191 => x"1234e66d", 4192 => x"deec0005", 4193 => x"000b0000", 4194 => x"00000000", 4195 => x"00000000", 4196 => x"00000000", 4197 => x"00000000", 4198 => x"00000000", 4199 => x"00000000", 4200 => x"00000000", 4201 => x"00000000", 4202 => x"00000000", 4203 => x"00000000", 4204 => x"00000000", 4205 => x"00000000", 4206 => x"00000000", 4207 => x"00000000", 4208 => x"00000000", 4209 => x"00000000", 4210 => x"00000000", 4211 => x"00000000", 4212 => x"00000000", 4213 => x"00000000", 4214 => x"00000000", 4215 => x"00000000", 4216 => x"00000000", 4217 => x"00000000", 4218 => x"00000000", 4219 => x"00000000", 4220 => x"00000000", 4221 => x"00000000", 4222 => x"00000000", 4223 => x"00000000", 4224 => x"00000000", 4225 => x"00000000", 4226 => x"00000000", 4227 => x"00000000", 4228 => x"00000000", 4229 => x"00000000", 4230 => x"00000000", 4231 => x"00000000", 4232 => x"00000000", 4233 => x"00000000", 4234 => x"00000000", 4235 => x"00000000", 4236 => x"00000000", 4237 => x"00000000", 4238 => x"00000000", 4239 => x"00000000", 4240 => x"00000000", 4241 => x"00000000", 4242 => x"00000000", 4243 => x"00000000", 4244 => x"00000000", 4245 => x"00000000", 4246 => x"00000000", 4247 => x"00000000", 4248 => x"00000000", 4249 => x"00000000", 4250 => x"00000000", 4251 => x"00000000", 4252 => x"00000000", 4253 => x"00000000", 4254 => x"00000000", 4255 => x"00000000", 4256 => x"00000000", 4257 => x"00000000", 4258 => x"00000000", 4259 => x"00000000", 4260 => x"00000000", 4261 => x"00000000", 4262 => x"00000000", 4263 => x"00000000", 4264 => x"00000000", 4265 => x"00000000", 4266 => x"00000000", 4267 => x"00000000", 4268 => x"00000000", 4269 => x"00000000", 4270 => x"00000000", 4271 => x"00000000", 4272 => x"00000000", 4273 => x"00000000", 4274 => x"00000000", 4275 => x"00000000", 4276 => x"00000000", 4277 => x"00000000", 4278 => x"00000000", 4279 => x"00000000", 4280 => x"00000000", 4281 => x"00000000", 4282 => x"00000000", 4283 => x"00000000", 4284 => x"00000000", 4285 => x"00000000", 4286 => x"00000000", 4287 => x"00000000", 4288 => x"00000000", 4289 => x"00000000", 4290 => x"00000000", 4291 => x"00000000", 4292 => x"00000000", 4293 => x"00000000", 4294 => x"00000000", 4295 => x"00000000", 4296 => x"00000000", 4297 => x"00000000", 4298 => x"00000000", 4299 => x"00000000", 4300 => x"00000000", 4301 => x"00000000", 4302 => x"00000000", 4303 => x"00000000", 4304 => x"00000000", 4305 => x"00000000", 4306 => x"00000000", 4307 => x"00000000", 4308 => x"00000000", 4309 => x"00000000", 4310 => x"00000000", 4311 => x"00000000", 4312 => x"00000000", 4313 => x"00000000", 4314 => x"00000000", 4315 => x"00000000", 4316 => x"00000000", 4317 => x"00000000", 4318 => x"00000000", 4319 => x"00000000", 4320 => x"00000000", 4321 => x"00000000", 4322 => x"00000000", 4323 => x"00000000", 4324 => x"00000000", 4325 => x"00000000", 4326 => x"00000000", 4327 => x"00000000", 4328 => x"00000000", 4329 => x"00000000", 4330 => x"00000000", 4331 => x"00000000", 4332 => x"00000000", 4333 => x"00000000", 4334 => x"00000000", 4335 => x"00000000", 4336 => x"00000000", 4337 => x"00000000", 4338 => x"00000000", 4339 => x"00000000", 4340 => x"00000000", 4341 => x"00000000", 4342 => x"00000000", 4343 => x"00000000", 4344 => x"00000000", 4345 => x"00000000", 4346 => x"00000000", 4347 => x"00000000", 4348 => x"00000000", 4349 => x"00000000", 4350 => x"00000000", 4351 => x"00000000", 4352 => x"00000000", 4353 => x"00000000", 4354 => x"00000000", 4355 => x"00000000", 4356 => x"00000000", 4357 => x"00000000", 4358 => x"00000000", 4359 => x"00000000", 4360 => x"00000000", 4361 => x"00000000", 4362 => x"00000000", 4363 => x"00000000", 4364 => x"00000000", 4365 => x"00000000", 4366 => x"00000000", 4367 => x"00000000", 4368 => x"00000000", 4369 => x"00000000", 4370 => x"00003c4c", 4371 => x"ffffffff", 4372 => x"00000000", 4373 => x"ffffffff", 4374 => x"00000000", 4375 => x"00000000", others => x"00000000" ); begin do_port_a: process (clk_i) variable iaddr : integer; begin if rising_edge(clk_i) then if (a_we_i='1') and (b_we_i='1') and (a_addr_i=b_addr_i) and (a_write_i/=b_write_i) then report "DualPortRAM write collision" severity failure; end if; iaddr:=to_integer(a_addr_i); if a_we_i='1' then ram(iaddr):=a_write_i; -- Write First mode a_read_o <= a_write_i; else a_read_o <= ram(iaddr); end if; end if; end process do_port_a; do_port_b: process (clk_i) variable iaddr : integer; begin if rising_edge(clk_i) then iaddr:=to_integer(b_addr_i); if b_we_i='1' then ram(iaddr):=b_write_i; b_read_o <= b_write_i; else b_read_o <= ram(iaddr); end if; end if; end process do_port_b; end architecture Xilinx; -- Entity: DualPortRAM
bsd-3-clause
sonologic/gmzpu
vhdl/devices/timer.vhdl
1
4770
------------------------------------------------------------------------------ ---- ---- ---- 64 bits clock counter ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a peripheral used by the PHI I/O layout. It just counts the ---- ---- elapsed number of clocks. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: Timer(Behave) (Entity and architecture) ---- ---- File name: timer.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- zpu.zpupkg ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Timer is port( clk_i : in std_logic; reset_i : in std_logic; we_i : in std_logic; data_i : in unsigned(31 downto 0); addr_i : in unsigned(0 downto 0); data_o : out unsigned(31 downto 0)); end entity Timer; architecture Behave of Timer is signal sample : std_logic; signal reset : std_logic; signal cnt : unsigned(63 downto 0); signal cnt_smp : unsigned(63 downto 0); begin reset <= '1' when (we_i='1' and data_i(0)='1') else '0'; sample <= '1' when (we_i='1' and data_i(1)='1') else '0'; -- Carry generation do_timer: process (clk_i) begin if rising_edge(clk_i) then if reset_i='1' or reset='1' then cnt <= (others => '0'); cnt_smp <= (others => '0'); else cnt <= cnt+1; if sample='1' then -- report "sampling" severity failure; cnt_smp <= cnt; end if; end if; -- else reset_i='1' end if; -- rising_edge(clk_i) end process do_timer; data_o <= cnt_smp(31 downto 0) when to_01(addr_i)="0" else cnt_smp(63 downto 32); end architecture Behave; -- Entity: Timer
bsd-3-clause
ashmind/ace
demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
bsd-3-clause
sonologic/gmzpu
vhdl/ZetaIO/interrupt/test/interrupt_line_tb.vhdl
1
8368
------------------------------------------------------------------------------ ---- ---- ---- gmzpu interrupt line component testbench ---- ---- ---- ---- http://github.com/sonologic/gmzpu ---- ---- ---- ---- Description: ---- ---- This is the testbench for the gmZPU core ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- - "Koen Martens" <gmc sonologic.nl> ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2014 Koen Martens ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zwishbone_TB ---- ---- File name: gmzpu_tb.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: n/a ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Modelsim ---- ---- Simulation tools: Modelsim ---- ---- Text editor: vim ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library gmzpu; use gmzpu.pic; entity interrupt_line_TB is end entity interrupt_line_TB; architecture Behave of interrupt_line_TB is constant CLK_FREQ : positive:=50; -- 50 MHz clock constant CLK_S_PER : time:=1 us/(2.0*real(CLK_FREQ)); -- Clock semi period component interrupt_line is port ( clk_i : in std_logic; int_i : in std_logic; irq_o : out std_logic; icr_o : out std_logic; icr_i : in std_logic; imr_i : in std_logic; ier_i : in std_logic; itr_i : in std_logic; we_i : in std_logic ); end component interrupt_line; type sample is record -- inputs int_i : std_logic; icr_i : std_logic; imr_i : std_logic; ier_i : std_logic; itr_i : std_logic; we_i : std_logic; -- outputs irq_o : std_logic; icr_o : std_logic; end record; type sample_array is array(natural range <>) of sample; constant test_data : sample_array := ( -- int icr imr ier itr we irq icr -- reset ('0','0','0','0','0','1', '0','0'), ('0','0','0','0','0','0', '0','0'), ('0','0','0','0','0','0', '0','0'), -- assert imr, then int_i ('0','0','1','0','0','0', '0','0'), ('1','0','1','0','0','0', '1','1'), ('0','0','1','0','0','0', '1','1'), ('0','0','1','0','0','0', '1','1'), ('0','0','0','0','0','1', '0','0'), ('0','0','0','0','0','0', '0','0'), -- rising edge ('0','0','0','0','0','0', '0','0'), ('0','0','0','0','0','0', '0','0'), ('1','0','0','0','0','0', '0','1'), ('1','0','0','0','0','0', '0','1'), ('1','0','0','0','0','0', '0','1'), ('1','0','0','0','0','0', '0','1'), ('1','0','0','0','0','1', '0','0'), ('1','0','0','0','0','0', '0','0'), ('1','0','0','0','0','0', '0','0'), ('0','0','0','0','0','0', '0','0'), ('0','0','0','0','0','0', '0','0'), -- falling edge ('0','0','0','1','0','0', '0','0'), ('0','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('1','0','0','1','0','0', '0','0'), ('0','0','0','1','0','0', '0','1'), ('0','0','0','1','0','0', '0','1'), ('0','0','0','1','0','1', '0','0'), ('0','0','0','1','0','0', '0','0'), ('0','0','0','1','0','0', '0','0'), -- int icr imr ier itr we irq icr -- level trig ('0','0','0','0','1','0', '0','0'), ('0','0','0','0','1','0', '0','0'), ('1','0','0','0','1','0', '0','1'), ('1','0','0','0','1','0', '0','1'), ('1','0','0','0','1','0', '0','1'), ('0','0','0','0','1','0', '0','0'), ('0','0','0','0','1','0', '0','0'), -- terminate ('0','0','0','0','0','0', '0','0') ); signal clk : std_logic; signal int_i : std_logic; signal icr_i : std_logic; signal imr_i : std_logic; signal ier_i : std_logic; signal itr_i : std_logic; signal we_i : std_logic; signal irq_o : std_logic; signal icr_o : std_logic; signal valid : std_logic; begin line : interrupt_line port map(int_i => int_i, icr_i => icr_i, imr_i => imr_i, ier_i => ier_i, itr_i => itr_i, we_i => we_i, irq_o => irq_o, icr_o => icr_o, clk_i => clk); process variable cycle_count : integer:=0; begin for i in test_data'range loop int_i <= test_data(i).int_i; icr_i <= test_data(i).icr_i; imr_i <= test_data(i).imr_i; ier_i <= test_data(i).ier_i; itr_i <= test_data(i).itr_i; we_i <= test_data(i).we_i; clk <= '1'; wait for CLK_S_PER; clk <= '0'; wait for CLK_S_PER; valid <= '1'; if irq_o/=test_data(i).irq_o then valid <= 'Z'; end if; if icr_o/=test_data(i).icr_o then valid <= 'Z'; end if; assert (irq_o = test_data(i).irq_o) report "irq_o output mismatch" severity error; assert (icr_o = test_data(i).icr_o) report "icr_o output mismatch" severity error; end loop; clk <= '0'; wait; end process; end architecture Behave;
bsd-3-clause
sonologic/gmzpu
vhdl/roms/rom_pkg.vhdl
1
4618
------------------------------------------------------------------------------ ---- ---- ---- ZPU memories package ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a package with the memories used for the ZPU core. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu_memory (Package) ---- ---- File name: rom_pkg.vhdl (template used) ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package zpu_memory is component DualPortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; -- Port A a_we_i : in std_logic; a_addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); a_write_i : in unsigned(WORD_SIZE-1 downto 0); a_read_o : out unsigned(WORD_SIZE-1 downto 0); -- Port B b_we_i : in std_logic; b_addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); b_write_i : in unsigned(WORD_SIZE-1 downto 0); b_read_o : out unsigned(WORD_SIZE-1 downto 0)); end component DualPortRAM; component SinglePortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; we_i : in std_logic; re_i : in std_logic; addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); write_i : in unsigned(WORD_SIZE-1 downto 0); read_o : out unsigned(WORD_SIZE-1 downto 0); busy_o : out std_logic); end component SinglePortRAM; end package zpu_memory;
bsd-3-clause
olgirard/openmsp430
fpga/xilinx_avnet_lx9microbard/rtl/verilog/coregen/ram_16x1k_sp/simulation/checker.vhd
6
5607
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_2 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
bsd-3-clause
itsff/ace
demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
bsd-3-clause
paladox/ace
demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
bsd-3-clause
Xilinx/PYNQ
boards/ip/dvi2rgb_v1_7/src/GlitchFilter.vhd
15
3919
------------------------------------------------------------------------------- -- -- File: GlitchFilter.vhd -- Author: Elod Gyorgy -- Original Project: HDMI input on 7-series Xilinx FPGA -- Date: 22 October 2014 -- ------------------------------------------------------------------------------- -- (c) 2014 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Purpose: -- This module filters any pulses on sIn lasting less than the number of -- periods specified in kNoOfPeriodsToFilter. The output sOut will be -- delayed by kNoOfPeriodsToFilter cycles, but glitch-free. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity GlitchFilter is Generic ( kNoOfPeriodsToFilter : natural); Port ( SampleClk : in STD_LOGIC; sIn : in STD_LOGIC; sOut : out STD_LOGIC; sRst : in STD_LOGIC); end GlitchFilter; architecture Behavioral of GlitchFilter is signal cntPeriods : natural range 0 to kNoOfPeriodsToFilter - 1 := kNoOfPeriodsToFilter - 1; signal sIn_q : std_logic; begin Bypass: if kNoOfPeriodsToFilter = 0 generate sOut <= sIn; end generate Bypass; Filter: if kNoOfPeriodsToFilter > 0 generate process (SampleClk) begin if Rising_Edge(SampleClk) then sIn_q <= sIn; if (cntPeriods = 0) then sOut <= sIn_q; end if; end if; end process; PeriodCounter: process (SampleClk) begin if Rising_Edge(SampleClk) then if (sIn_q /= sIn or sRst = '1') then --edge detected cntPeriods <= kNoOfPeriodsToFilter - 1; --reset counter elsif (cntPeriods /= 0) then cntPeriods <= cntPeriods - 1; --count down end if; end if; end process PeriodCounter; end generate Filter; end Behavioral;
bsd-3-clause
Xilinx/PYNQ
boards/ip/rgb2dvi_v1_2/src/SyncAsync.vhd
34
3727
------------------------------------------------------------------------------- -- -- File: SyncAsync.vhd -- Author: Elod Gyorgy -- Original Project: HDMI input on 7-series Xilinx FPGA -- Date: 20 October 2014 -- ------------------------------------------------------------------------------- -- (c) 2014 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Purpose: -- This module synchronizes the asynchronous signal (aIn) with the OutClk clock -- domain and provides it on oOut. The number of FFs in the synchronizer chain -- can be configured with kStages. The reset value for oOut can be configured -- with kResetTo. The asynchronous reset (aReset) is always active-high. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity SyncAsync is Generic ( kResetTo : std_logic := '0'; --value when reset and upon init kStages : natural := 2); --double sync by default Port ( aReset : in STD_LOGIC; -- active-high asynchronous reset aIn : in STD_LOGIC; OutClk : in STD_LOGIC; oOut : out STD_LOGIC); end SyncAsync; architecture Behavioral of SyncAsync is signal oSyncStages : std_logic_vector(kStages-1 downto 0) := (others => kResetTo); attribute ASYNC_REG : string; attribute ASYNC_REG of oSyncStages: signal is "TRUE"; begin Sync: process (OutClk, aReset) begin if (aReset = '1') then oSyncStages <= (others => kResetTo); elsif Rising_Edge(OutClk) then oSyncStages <= oSyncStages(oSyncStages'high-1 downto 0) & aIn; end if; end process Sync; oOut <= oSyncStages(oSyncStages'high); end Behavioral;
bsd-3-clause
Xilinx/PYNQ
boards/ip/dvi2rgb_v1_7/src/SyncAsync.vhd
34
3727
------------------------------------------------------------------------------- -- -- File: SyncAsync.vhd -- Author: Elod Gyorgy -- Original Project: HDMI input on 7-series Xilinx FPGA -- Date: 20 October 2014 -- ------------------------------------------------------------------------------- -- (c) 2014 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Purpose: -- This module synchronizes the asynchronous signal (aIn) with the OutClk clock -- domain and provides it on oOut. The number of FFs in the synchronizer chain -- can be configured with kStages. The reset value for oOut can be configured -- with kResetTo. The asynchronous reset (aReset) is always active-high. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity SyncAsync is Generic ( kResetTo : std_logic := '0'; --value when reset and upon init kStages : natural := 2); --double sync by default Port ( aReset : in STD_LOGIC; -- active-high asynchronous reset aIn : in STD_LOGIC; OutClk : in STD_LOGIC; oOut : out STD_LOGIC); end SyncAsync; architecture Behavioral of SyncAsync is signal oSyncStages : std_logic_vector(kStages-1 downto 0) := (others => kResetTo); attribute ASYNC_REG : string; attribute ASYNC_REG of oSyncStages: signal is "TRUE"; begin Sync: process (OutClk, aReset) begin if (aReset = '1') then oSyncStages <= (others => kResetTo); elsif Rising_Edge(OutClk) then oSyncStages <= oSyncStages(oSyncStages'high-1 downto 0) & aIn; end if; end process Sync; oOut <= oSyncStages(oSyncStages'high); end Behavioral;
bsd-3-clause
larskuhtz/MoCS
vhdl/countdown_tb.vhdl
1
1603
-- *** MOCS-COPYRIGHT-NOTICE-BEGIN *** -- -- This copyright notice is auto-generated by ./add-copyright-notice. -- Additional copyright notices must be added below the last line of this notice. -- -- MoCS (https://lewis.cs.uni-saarland.de/tools/mocs/): "vhdl/countdown_tb.vhdl". -- The content of this file is copyright of Saarland University - -- Copyright (C) 2009 Saarland University, Reactive Systems Group, Lars Kuhtz. -- -- This file is part of MoCS (https://lewis.cs.uni-saarland.de/tools/mocs/). -- -- License: three-clause BSD style license. -- The license text can be found in the file LICENSE. -- -- *** MOCS-COPYRIGHT-NOTICE-END *** -- ----------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.countdown; entity countdown_tb is end countdown_tb; architecture Behavioral of countdown_tb is constant max : Integer := 5; signal CLOCK, o : STD_LOGIC; signal ticks : Integer := 0; -- interal begin process begin CLOCK <= '1'; wait for 5 ns; CLOCK <= '0'; wait for 5 ns; end process; countdown0 : entity work.countdown(Behavioral) generic map(max => max) port map(CLOCK,o); check0 : process(CLOCK) begin if (CLOCK'event and CLOCK='1') then ticks <= ticks + 1; end if; end process; check1 : process(CLOCK) begin assert (ticks < max-1) xor (o = '1') report "counter failed"; assert (0 = 1) report "ticks = " & integer'image(ticks) & ", o = " & std_logic'image(o); end process; end Behavioral;
bsd-3-clause
VectorBlox/risc-v
ip/orca/hdl/cache_mux.vhd
1
24104
library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.rv_components.all; use work.utils.all; use work.constants_pkg.all; --This OIMM Mux can be configured with or without multiple read support. If --MAX_OUTSTANDING_READS is 1, then it is the responsibility of the requester to --not issue a read request while a current read request is pending but the --readdatavalid signal has not been asserted. If turned on then the --MAX_OUTSTANDING_READS generic sets the number of outstanding reads that can --be in flight on any one interface; all reads on one interface must finish --before reads on another interface can start. MAX_OUTSTANDING_READS should be --set to a power of 2 minus 1 (1,3,7,15,etc.) normally as numbers between those --will use the same amount of resources as the next highest power of 2 minus 1. entity cache_mux is generic ( ADDRESS_WIDTH : positive; DATA_WIDTH : positive; MAX_OUTSTANDING_READS : positive; AUX_MEMORY_REGIONS : natural range 0 to 4; AMR0_ADDR_BASE : std_logic_vector(31 downto 0); AMR0_ADDR_LAST : std_logic_vector(31 downto 0); UC_MEMORY_REGIONS : natural range 0 to 4; UMR0_ADDR_BASE : std_logic_vector(31 downto 0); UMR0_ADDR_LAST : std_logic_vector(31 downto 0); CACHE_SIZE : natural; CACHE_LINE_SIZE : positive range 16 to 256; INTERNAL_REQUEST_REGISTER : request_register_type; INTERNAL_RETURN_REGISTER : boolean; UC_REQUEST_REGISTER : request_register_type; UC_RETURN_REGISTER : boolean; AUX_REQUEST_REGISTER : request_register_type; AUX_RETURN_REGISTER : boolean ); port ( clk : in std_logic; reset : in std_logic; amr_base_addrs : in std_logic_vector((imax(AUX_MEMORY_REGIONS, 1)*ADDRESS_WIDTH)-1 downto 0); amr_last_addrs : in std_logic_vector((imax(AUX_MEMORY_REGIONS, 1)*ADDRESS_WIDTH)-1 downto 0); umr_base_addrs : in std_logic_vector((imax(UC_MEMORY_REGIONS, 1)*ADDRESS_WIDTH)-1 downto 0); umr_last_addrs : in std_logic_vector((imax(UC_MEMORY_REGIONS, 1)*ADDRESS_WIDTH)-1 downto 0); internal_register_idle : out std_logic; external_registers_idle : out std_logic; --ORCA-internal memory-mapped slave oimm_address : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); oimm_byteenable : in std_logic_vector((DATA_WIDTH/8)-1 downto 0) := (others => '1'); oimm_requestvalid : in std_logic; oimm_readnotwrite : in std_logic := '1'; oimm_writedata : in std_logic_vector(DATA_WIDTH-1 downto 0) := (others => '-'); oimm_readdata : out std_logic_vector(DATA_WIDTH-1 downto 0); oimm_readdatavalid : out std_logic; oimm_waitrequest : out std_logic; --Cache interface ORCA-internal memory-mapped master cacheint_oimm_address : out std_logic_vector(ADDRESS_WIDTH-1 downto 0); cacheint_oimm_byteenable : out std_logic_vector((DATA_WIDTH/8)-1 downto 0); cacheint_oimm_requestvalid : out std_logic; cacheint_oimm_readnotwrite : out std_logic; cacheint_oimm_writedata : out std_logic_vector(DATA_WIDTH-1 downto 0); cacheint_oimm_readdata : in std_logic_vector(DATA_WIDTH-1 downto 0); cacheint_oimm_readdatavalid : in std_logic; cacheint_oimm_waitrequest : in std_logic; --Uncached ORCA-internal memory-mapped master uc_oimm_address : out std_logic_vector(ADDRESS_WIDTH-1 downto 0); uc_oimm_byteenable : out std_logic_vector((DATA_WIDTH/8)-1 downto 0); uc_oimm_requestvalid : out std_logic; uc_oimm_readnotwrite : out std_logic; uc_oimm_writedata : out std_logic_vector(DATA_WIDTH-1 downto 0); uc_oimm_readdata : in std_logic_vector(DATA_WIDTH-1 downto 0); uc_oimm_readdatavalid : in std_logic; uc_oimm_waitrequest : in std_logic; --Tightly-coupled memory ORCA-internal memory-mapped master aux_oimm_address : out std_logic_vector(ADDRESS_WIDTH-1 downto 0); aux_oimm_byteenable : out std_logic_vector((DATA_WIDTH/8)-1 downto 0); aux_oimm_requestvalid : out std_logic; aux_oimm_readnotwrite : out std_logic; aux_oimm_writedata : out std_logic_vector(DATA_WIDTH-1 downto 0); aux_oimm_readdata : in std_logic_vector(DATA_WIDTH-1 downto 0); aux_oimm_readdatavalid : in std_logic; aux_oimm_waitrequest : in std_logic ); end entity cache_mux; architecture rtl of cache_mux is signal internal_oimm_address : std_logic_vector(ADDRESS_WIDTH-1 downto 0); signal internal_oimm_byteenable : std_logic_vector((DATA_WIDTH/8)-1 downto 0); signal internal_oimm_requestvalid : std_logic; signal internal_oimm_readnotwrite : std_logic; signal internal_oimm_writedata : std_logic_vector(DATA_WIDTH-1 downto 0); signal internal_oimm_readdata : std_logic_vector(DATA_WIDTH-1 downto 0); signal internal_oimm_readdatavalid : std_logic; signal internal_oimm_waitrequest : std_logic; signal c_outstanding_reads : unsigned(log2(MAX_OUTSTANDING_READS+1)-1 downto 0); signal uc_outstanding_reads : unsigned(log2(MAX_OUTSTANDING_READS+1)-1 downto 0); signal aux_outstanding_reads : unsigned(log2(MAX_OUTSTANDING_READS+1)-1 downto 0); signal c_zero_outstanding_reads : std_logic; signal uc_zero_outstanding_reads : std_logic; signal aux_zero_outstanding_reads : std_logic; signal c_max_outstanding_reads : std_logic; signal uc_max_outstanding_reads : std_logic; signal aux_max_outstanding_reads : std_logic; type address_vector is array (natural range <>) of unsigned(ADDRESS_WIDTH-1 downto 0); signal amr_base_addr : address_vector(imax(AUX_MEMORY_REGIONS, 1)-1 downto 0); signal amr_last_addr : address_vector(imax(AUX_MEMORY_REGIONS, 1)-1 downto 0); signal amr_address_match : std_logic_vector(imax(AUX_MEMORY_REGIONS, 1)-1 downto 0); signal umr_base_addr : address_vector(imax(UC_MEMORY_REGIONS, 1)-1 downto 0); signal umr_last_addr : address_vector(imax(UC_MEMORY_REGIONS, 1)-1 downto 0); signal umr_address_match : std_logic_vector(imax(UC_MEMORY_REGIONS, 1)-1 downto 0); signal c_select : std_logic; signal uc_select : std_logic; signal aux_select : std_logic; signal read_stall : std_logic; signal internal_uc_oimm_address : std_logic_vector(ADDRESS_WIDTH-1 downto 0); signal internal_uc_oimm_byteenable : std_logic_vector((DATA_WIDTH/8)-1 downto 0); signal internal_uc_oimm_requestvalid : std_logic; signal internal_uc_oimm_readnotwrite : std_logic; signal internal_uc_oimm_writedata : std_logic_vector(DATA_WIDTH-1 downto 0); signal internal_uc_oimm_readdata : std_logic_vector(DATA_WIDTH-1 downto 0); signal internal_uc_oimm_readdatavalid : std_logic; signal internal_uc_oimm_waitrequest : std_logic; signal uc_register_idle : std_logic; signal internal_aux_oimm_address : std_logic_vector(ADDRESS_WIDTH-1 downto 0); signal internal_aux_oimm_byteenable : std_logic_vector((DATA_WIDTH/8)-1 downto 0); signal internal_aux_oimm_requestvalid : std_logic; signal internal_aux_oimm_readnotwrite : std_logic; signal internal_aux_oimm_writedata : std_logic_vector(DATA_WIDTH-1 downto 0); signal internal_aux_oimm_readdata : std_logic_vector(DATA_WIDTH-1 downto 0); signal internal_aux_oimm_readdatavalid : std_logic; signal internal_aux_oimm_waitrequest : std_logic; signal aux_register_idle : std_logic; begin ----------------------------------------------------------------------------- -- Optional Internal OIMM Register ----------------------------------------------------------------------------- internal_oimm_register : oimm_register generic map ( ADDRESS_WIDTH => ADDRESS_WIDTH, DATA_WIDTH => DATA_WIDTH, REQUEST_REGISTER => INTERNAL_REQUEST_REGISTER, RETURN_REGISTER => INTERNAL_RETURN_REGISTER ) port map ( clk => clk, reset => reset, register_idle => internal_register_idle, --ORCA-internal memory-mapped slave slave_oimm_address => oimm_address, slave_oimm_byteenable => oimm_byteenable, slave_oimm_requestvalid => oimm_requestvalid, slave_oimm_readnotwrite => oimm_readnotwrite, slave_oimm_writedata => oimm_writedata, slave_oimm_readdata => oimm_readdata, slave_oimm_readdatavalid => oimm_readdatavalid, slave_oimm_waitrequest => oimm_waitrequest, --ORCA-internal memory-mapped master master_oimm_address => internal_oimm_address, master_oimm_byteenable => internal_oimm_byteenable, master_oimm_requestvalid => internal_oimm_requestvalid, master_oimm_readnotwrite => internal_oimm_readnotwrite, master_oimm_writedata => internal_oimm_writedata, master_oimm_readdata => internal_oimm_readdata, master_oimm_readdatavalid => internal_oimm_readdatavalid, master_oimm_waitrequest => internal_oimm_waitrequest ); cacheint_oimm_address <= internal_oimm_address; cacheint_oimm_byteenable <= internal_oimm_byteenable; cacheint_oimm_writedata <= internal_oimm_writedata; internal_uc_oimm_address <= internal_oimm_address; internal_uc_oimm_byteenable <= internal_oimm_byteenable; internal_uc_oimm_writedata <= internal_oimm_writedata; internal_aux_oimm_address <= internal_oimm_address; internal_aux_oimm_byteenable <= internal_oimm_byteenable; internal_aux_oimm_writedata <= internal_oimm_writedata; amr_gen : for gregister in imax(AUX_MEMORY_REGIONS, 1)-1 downto 0 generate amr_base_addr(gregister) <= unsigned(amr_base_addrs(((gregister+1)*ADDRESS_WIDTH)-1 downto gregister*ADDRESS_WIDTH)); amr_last_addr(gregister) <= unsigned(amr_last_addrs(((gregister+1)*ADDRESS_WIDTH)-1 downto gregister*ADDRESS_WIDTH)); amr_address_match(gregister) <= '1' when ((unsigned(internal_oimm_address) >= amr_base_addr(gregister)) and (unsigned(internal_oimm_address) <= amr_last_addr(gregister))) else '0'; end generate amr_gen; umr_gen : for gregister in imax(UC_MEMORY_REGIONS, 1)-1 downto 0 generate umr_base_addr(gregister) <= unsigned(umr_base_addrs(((gregister+1)*ADDRESS_WIDTH)-1 downto gregister*ADDRESS_WIDTH)); umr_last_addr(gregister) <= unsigned(umr_last_addrs(((gregister+1)*ADDRESS_WIDTH)-1 downto gregister*ADDRESS_WIDTH)); umr_address_match(gregister) <= '1' when ((unsigned(internal_oimm_address) >= umr_base_addr(gregister)) and (unsigned(internal_oimm_address) <= umr_last_addr(gregister))) else '0'; end generate umr_gen; --Generate control signals depending on which interfaces are enabled and if --the address ranges overalp. Cache has all unspecified addresses. If AUX --and UC overlap use AUX. no_aux_gen : if AUX_MEMORY_REGIONS = 0 generate aux_select <= '0'; no_uc_gen : if UC_MEMORY_REGIONS = 0 generate uc_select <= '0'; external_registers_idle <= '1'; no_c_gen : if CACHE_SIZE = 0 generate c_select <= '0'; internal_oimm_readdata <= (others => '-'); internal_oimm_readdatavalid <= '0'; assert true report "Error; Cache is disabled (CACHE_SIZE = 0), UC interface is disabled (UC_MEMORY_REGIONS = 0), and AUX interface is disabled (AUX_MEMORY_REGIONS = 0). At least one interface must be enabled." severity failure; end generate no_c_gen; has_c_gen : if CACHE_SIZE /= 0 generate c_select <= '1'; internal_oimm_readdata <= cacheint_oimm_readdata; internal_oimm_readdatavalid <= cacheint_oimm_readdatavalid; end generate has_c_gen; end generate no_uc_gen; has_uc_gen : if UC_MEMORY_REGIONS /= 0 generate external_registers_idle <= uc_register_idle; no_c_gen : if CACHE_SIZE = 0 generate c_select <= '0'; uc_select <= '1'; internal_oimm_readdata <= internal_uc_oimm_readdata; internal_oimm_readdatavalid <= internal_uc_oimm_readdatavalid; assert not ((unsigned(UMR0_ADDR_BASE) /= to_unsigned(0, UMR0_ADDR_BASE'length)) or (signed(UMR0_ADDR_LAST) /= to_signed(-1, UMR0_ADDR_LAST'length))) report "Warning; Cache is disabled (CACHE_SIZE = 0) and AUX interface is disabled (AUX_MEMORY_REGIONS = 0) but UMR0 address range does not encompass the full address range. All accesses will go to UC interface, even those not in the UMR0 address range." severity note; assert UC_MEMORY_REGIONS = 1 report "Warning; Cache is disabled (CACHE_SIZE = 0) and AUX interface is disabled (AUX_MEMORY_REGIONS = 0) but UC_MEMORY_REGIONS is greater than 1. Multiple UC_MEMORY_REGIONS are superflous in this configuration as all accesses will use the UC memory interface." severity note; end generate no_c_gen; has_c_gen : if CACHE_SIZE /= 0 generate uc_select <= or_slv(umr_address_match); c_select <= and_slv(not umr_address_match); internal_oimm_readdata <= cacheint_oimm_readdata when cacheint_oimm_readdatavalid = '1' else internal_uc_oimm_readdata; internal_oimm_readdatavalid <= cacheint_oimm_readdatavalid or internal_uc_oimm_readdatavalid; end generate has_c_gen; end generate has_uc_gen; end generate no_aux_gen; has_aux_gen : if AUX_MEMORY_REGIONS /= 0 generate no_uc_gen : if UC_MEMORY_REGIONS = 0 generate uc_select <= '0'; external_registers_idle <= aux_register_idle; no_c_gen : if CACHE_SIZE = 0 generate aux_select <= '1'; c_select <= '0'; internal_oimm_readdata <= internal_aux_oimm_readdata; internal_oimm_readdatavalid <= internal_aux_oimm_readdatavalid; assert not ((unsigned(AMR0_ADDR_BASE) /= to_unsigned(0, AMR0_ADDR_BASE'length)) or (signed(AMR0_ADDR_LAST) /= to_signed(-1, AMR0_ADDR_LAST'length))) report "Warning; Cache is disabled (CACHE_SIZE = 0) and UC interface is disabled (UC_MEMORY_REGIONS = 0) but AMR0 address range does not encompass the full address range. All accesses will go to AUX interface, even those not in the AMR0 address range." severity note; assert AUX_MEMORY_REGIONS = 1 report "Warning; Cache is disabled (CACHE_SIZE = 0) and UC interface is disabled (UC_MEMORY_REGIONS = 0) but AUX_MEMORY_REGIONS is greater than 1. Multiple AUX_MEMORY_REGIONS are superflous in this configuration as all accesses will use the AUX memory interface." severity note; end generate no_c_gen; has_c_gen : if CACHE_SIZE /= 0 generate aux_select <= or_slv(amr_address_match); c_select <= and_slv(not amr_address_match); internal_oimm_readdata <= cacheint_oimm_readdata when cacheint_oimm_readdatavalid = '1' else internal_aux_oimm_readdata; internal_oimm_readdatavalid <= cacheint_oimm_readdatavalid or internal_aux_oimm_readdatavalid; end generate has_c_gen; end generate no_uc_gen; has_uc_gen : if UC_MEMORY_REGIONS /= 0 generate aux_select <= or_slv(amr_address_match); external_registers_idle <= uc_register_idle and aux_register_idle; no_c_gen : if CACHE_SIZE = 0 generate uc_select <= and_slv(not amr_address_match); c_select <= '0'; internal_oimm_readdata <= internal_uc_oimm_readdata when internal_uc_oimm_readdatavalid = '1' else internal_aux_oimm_readdata; internal_oimm_readdatavalid <= internal_uc_oimm_readdatavalid or internal_aux_oimm_readdatavalid; end generate no_c_gen; has_c_gen : if CACHE_SIZE /= 0 generate uc_select <= and_slv(not amr_address_match) and or_slv(umr_address_match); c_select <= and_slv(not amr_address_match) and and_slv(not umr_address_match); internal_oimm_readdata <= cacheint_oimm_readdata when cacheint_oimm_readdatavalid = '1' else internal_uc_oimm_readdata when internal_uc_oimm_readdatavalid = '1' else internal_aux_oimm_readdata; internal_oimm_readdatavalid <= cacheint_oimm_readdatavalid or internal_uc_oimm_readdatavalid or internal_aux_oimm_readdatavalid; end generate has_c_gen; end generate has_uc_gen; end generate has_aux_gen; read_stall <= ((c_select and (c_max_outstanding_reads or (not uc_zero_outstanding_reads) or (not aux_zero_outstanding_reads))) or (uc_select and (uc_max_outstanding_reads or (not c_zero_outstanding_reads) or (not aux_zero_outstanding_reads))) or (aux_select and (aux_max_outstanding_reads or (not c_zero_outstanding_reads) or (not uc_zero_outstanding_reads)))) when MAX_OUTSTANDING_READS > 1 else '0'; internal_oimm_waitrequest <= read_stall or ((cacheint_oimm_waitrequest and c_select) or (internal_uc_oimm_waitrequest and uc_select) or (internal_aux_oimm_waitrequest and aux_select)); cacheint_oimm_requestvalid <= internal_oimm_requestvalid and (not read_stall) and c_select; cacheint_oimm_readnotwrite <= internal_oimm_readnotwrite; internal_uc_oimm_requestvalid <= internal_oimm_requestvalid and (not read_stall) and uc_select; internal_uc_oimm_readnotwrite <= internal_oimm_readnotwrite; internal_aux_oimm_requestvalid <= internal_oimm_requestvalid and (not read_stall) and aux_select; internal_aux_oimm_readnotwrite <= internal_oimm_readnotwrite; --Note that we could include the updated read count when --internal_oimm_readdatavalid is '1' but as long as more than one read is --supported we can get full throughput with MAX_OUTSTANDING_READS-1 in --flight. c_zero_outstanding_reads <= '1' when c_outstanding_reads = to_unsigned(0, c_outstanding_reads'length) else '0'; c_max_outstanding_reads <= '1' when c_outstanding_reads = to_unsigned(MAX_OUTSTANDING_READS, c_outstanding_reads'length) else '0'; uc_zero_outstanding_reads <= '1' when uc_outstanding_reads = to_unsigned(0, uc_outstanding_reads'length) else '0'; uc_max_outstanding_reads <= '1' when uc_outstanding_reads = to_unsigned(MAX_OUTSTANDING_READS, uc_outstanding_reads'length) else '0'; aux_zero_outstanding_reads <= '1' when aux_outstanding_reads = to_unsigned(0, aux_outstanding_reads'length) else '0'; aux_max_outstanding_reads <= '1' when aux_outstanding_reads = to_unsigned(MAX_OUTSTANDING_READS, aux_outstanding_reads'length) else '0'; process(clk) begin if rising_edge(clk) then if internal_oimm_readdatavalid = '1' then --Subtract one unless a new request has been issued if (internal_oimm_requestvalid = '0' or internal_oimm_readnotwrite = '0' or internal_oimm_waitrequest = '1') then if c_outstanding_reads /= to_unsigned(0, c_outstanding_reads'length) then c_outstanding_reads <= c_outstanding_reads - to_unsigned(1, c_outstanding_reads'length); end if; if uc_outstanding_reads /= to_unsigned(0, uc_outstanding_reads'length) then uc_outstanding_reads <= uc_outstanding_reads - to_unsigned(1, uc_outstanding_reads'length); end if; if aux_outstanding_reads /= to_unsigned(0, aux_outstanding_reads'length) then aux_outstanding_reads <= aux_outstanding_reads - to_unsigned(1, aux_outstanding_reads'length); end if; end if; else if (internal_oimm_requestvalid = '1' and internal_oimm_readnotwrite = '1' and internal_oimm_waitrequest = '0') then if c_select = '1' then c_outstanding_reads <= c_outstanding_reads + to_unsigned(1, c_outstanding_reads'length); end if; if uc_select = '1' then uc_outstanding_reads <= uc_outstanding_reads + to_unsigned(1, uc_outstanding_reads'length); end if; if aux_select = '1' then aux_outstanding_reads <= aux_outstanding_reads + to_unsigned(1, aux_outstanding_reads'length); end if; end if; end if; if reset = '1' then c_outstanding_reads <= to_unsigned(0, c_outstanding_reads'length); uc_outstanding_reads <= to_unsigned(0, uc_outstanding_reads'length); aux_outstanding_reads <= to_unsigned(0, aux_outstanding_reads'length); end if; end if; end process; ----------------------------------------------------------------------------- -- Optional UC OIMM Register ----------------------------------------------------------------------------- uc_oimm_register : oimm_register generic map ( ADDRESS_WIDTH => ADDRESS_WIDTH, DATA_WIDTH => DATA_WIDTH, REQUEST_REGISTER => UC_REQUEST_REGISTER, RETURN_REGISTER => UC_RETURN_REGISTER ) port map ( clk => clk, reset => reset, register_idle => uc_register_idle, --ORCA-internal memory-mapped slave slave_oimm_address => internal_uc_oimm_address, slave_oimm_byteenable => internal_uc_oimm_byteenable, slave_oimm_requestvalid => internal_uc_oimm_requestvalid, slave_oimm_readnotwrite => internal_uc_oimm_readnotwrite, slave_oimm_writedata => internal_uc_oimm_writedata, slave_oimm_readdata => internal_uc_oimm_readdata, slave_oimm_readdatavalid => internal_uc_oimm_readdatavalid, slave_oimm_waitrequest => internal_uc_oimm_waitrequest, --ORCA-internal memory-mapped master master_oimm_address => uc_oimm_address, master_oimm_byteenable => uc_oimm_byteenable, master_oimm_requestvalid => uc_oimm_requestvalid, master_oimm_readnotwrite => uc_oimm_readnotwrite, master_oimm_writedata => uc_oimm_writedata, master_oimm_readdata => uc_oimm_readdata, master_oimm_readdatavalid => uc_oimm_readdatavalid, master_oimm_waitrequest => uc_oimm_waitrequest ); ----------------------------------------------------------------------------- -- Optional AUX OIMM Register ----------------------------------------------------------------------------- aux_oimm_register : oimm_register generic map ( ADDRESS_WIDTH => ADDRESS_WIDTH, DATA_WIDTH => DATA_WIDTH, REQUEST_REGISTER => AUX_REQUEST_REGISTER, RETURN_REGISTER => AUX_RETURN_REGISTER ) port map ( clk => clk, reset => reset, register_idle => aux_register_idle, --ORCA-internal memory-mapped slave slave_oimm_address => internal_aux_oimm_address, slave_oimm_byteenable => internal_aux_oimm_byteenable, slave_oimm_requestvalid => internal_aux_oimm_requestvalid, slave_oimm_readnotwrite => internal_aux_oimm_readnotwrite, slave_oimm_writedata => internal_aux_oimm_writedata, slave_oimm_readdata => internal_aux_oimm_readdata, slave_oimm_readdatavalid => internal_aux_oimm_readdatavalid, slave_oimm_waitrequest => internal_aux_oimm_waitrequest, --ORCA-internal memory-mapped master master_oimm_address => aux_oimm_address, master_oimm_byteenable => aux_oimm_byteenable, master_oimm_requestvalid => aux_oimm_requestvalid, master_oimm_readnotwrite => aux_oimm_readnotwrite, master_oimm_writedata => aux_oimm_writedata, master_oimm_readdata => aux_oimm_readdata, master_oimm_readdatavalid => aux_oimm_readdatavalid, master_oimm_waitrequest => aux_oimm_waitrequest ); end architecture;
bsd-3-clause
durai145/ace
demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
bsd-3-clause
VectorBlox/risc-v
ip/idram/src/idram.vhd
1
13579
library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.idram_components.all; use work.idram_utils.all; entity idram is generic ( --Port types: 0 -> AXI4Lite, 1 -> AXI3, 2 -> AXI4 INSTR_PORT_TYPE : natural range 0 to 2 := 0; DATA_PORT_TYPE : natural range 0 to 2 := 0; WRITE_FIRST_MODE : natural range 0 to 1 := 0; SIZE : integer := 32768; RAM_WIDTH : integer := 32; ADDR_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; instr_AWID : in std_logic_vector(13 downto 0); instr_AWADDR : in std_logic_vector(ADDR_WIDTH-1 downto 0); instr_AWLEN : in std_logic_vector(7-(4*(INSTR_PORT_TYPE mod 2)) downto 0); instr_AWSIZE : in std_logic_vector(2 downto 0); instr_AWBURST : in std_logic_vector(1 downto 0); instr_AWLOCK : in std_logic_vector(1 downto 0); instr_AWCACHE : in std_logic_vector(3 downto 0); instr_AWPROT : in std_logic_vector(2 downto 0); instr_AWVALID : in std_logic; instr_AWREADY : out std_logic; instr_WID : in std_logic_vector(13 downto 0); instr_WDATA : in std_logic_vector(RAM_WIDTH-1 downto 0); instr_WSTRB : in std_logic_vector((RAM_WIDTH/8)-1 downto 0); instr_WLAST : in std_logic; instr_WVALID : in std_logic; instr_WREADY : out std_logic; instr_BID : out std_logic_vector(13 downto 0); instr_BRESP : out std_logic_vector(1 downto 0); instr_BVALID : out std_logic; instr_BREADY : in std_logic; instr_ARID : in std_logic_vector(13 downto 0); instr_ARADDR : in std_logic_vector(ADDR_WIDTH-1 downto 0); instr_ARLEN : in std_logic_vector(7-(4*(INSTR_PORT_TYPE mod 2)) downto 0); instr_ARSIZE : in std_logic_vector(2 downto 0); instr_ARBURST : in std_logic_vector(1 downto 0); instr_ARLOCK : in std_logic_vector(1 downto 0); instr_ARCACHE : in std_logic_vector(3 downto 0); instr_ARPROT : in std_logic_vector(2 downto 0); instr_ARVALID : in std_logic; instr_ARREADY : out std_logic; instr_RID : out std_logic_vector(13 downto 0); instr_RDATA : out std_logic_vector(RAM_WIDTH-1 downto 0); instr_RRESP : out std_logic_vector(1 downto 0); instr_RLAST : out std_logic; instr_RVALID : out std_logic; instr_RREADY : in std_logic; data_AWID : in std_logic_vector(13 downto 0); data_AWADDR : in std_logic_vector(ADDR_WIDTH-1 downto 0); data_AWLEN : in std_logic_vector(7-(4*(DATA_PORT_TYPE mod 2)) downto 0); data_AWSIZE : in std_logic_vector(2 downto 0); data_AWBURST : in std_logic_vector(1 downto 0); data_AWLOCK : in std_logic_vector(1 downto 0); data_AWCACHE : in std_logic_vector(3 downto 0); data_AWPROT : in std_logic_vector(2 downto 0); data_AWVALID : in std_logic; data_AWREADY : out std_logic; data_WID : in std_logic_vector(13 downto 0); data_WDATA : in std_logic_vector(RAM_WIDTH-1 downto 0); data_WSTRB : in std_logic_vector((RAM_WIDTH/8)-1 downto 0); data_WLAST : in std_logic; data_WVALID : in std_logic; data_WREADY : out std_logic; data_BID : out std_logic_vector(13 downto 0); data_BRESP : out std_logic_vector(1 downto 0); data_BVALID : out std_logic; data_BREADY : in std_logic; data_ARID : in std_logic_vector(13 downto 0); data_ARADDR : in std_logic_vector(ADDR_WIDTH-1 downto 0); data_ARLEN : in std_logic_vector(7-(4*(DATA_PORT_TYPE mod 2)) downto 0); data_ARSIZE : in std_logic_vector(2 downto 0); data_ARBURST : in std_logic_vector(1 downto 0); data_ARLOCK : in std_logic_vector(1 downto 0); data_ARCACHE : in std_logic_vector(3 downto 0); data_ARPROT : in std_logic_vector(2 downto 0); data_ARVALID : in std_logic; data_ARREADY : out std_logic; data_RID : out std_logic_vector(13 downto 0); data_RDATA : out std_logic_vector(RAM_WIDTH-1 downto 0); data_RRESP : out std_logic_vector(1 downto 0); data_RLAST : out std_logic; data_RVALID : out std_logic; data_RREADY : in std_logic ); end entity idram; architecture rtl of idram is constant BYTES_PER_WORD : integer := RAM_WIDTH/8; signal address : std_logic_vector(log2(SIZE/BYTES_PER_WORD)-1 downto 0); signal write_en : std_logic; signal instr_AWVALID_latched : std_logic; signal instr_AWADDR_latched : std_logic_vector(ADDR_WIDTH-1 downto 0); signal instr_WVALID_latched : std_logic; signal instr_WDATA_latched : std_logic_vector(RAM_WIDTH-1 downto 0); signal instr_WSTRB_latched : std_logic_vector((RAM_WIDTH/8)-1 downto 0); signal instr_AWREADY_internal : std_logic; signal instr_WREADY_internal : std_logic; signal instr_BVALID_internal : std_logic; signal instr_ARREADY_internal : std_logic; signal instr_RVALID_internal : std_logic; signal instr_address : std_logic_vector(log2(SIZE/BYTES_PER_WORD)-1 downto 0); signal instr_read_en : std_logic; signal instr_write_en : std_logic; signal instr_write_data : std_logic_vector(RAM_WIDTH-1 downto 0); signal instr_byte_sel : std_logic_vector(RAM_WIDTH/8-1 downto 0); signal instr_en : std_logic; signal instr_read_resp_stalled : std_logic; signal instr_write_resp_stalled : std_logic; signal data_AWVALID_latched : std_logic; signal data_AWADDR_latched : std_logic_vector(ADDR_WIDTH-1 downto 0); signal data_WVALID_latched : std_logic; signal data_WDATA_latched : std_logic_vector(RAM_WIDTH-1 downto 0); signal data_WSTRB_latched : std_logic_vector((RAM_WIDTH/8)-1 downto 0); signal data_AWREADY_internal : std_logic; signal data_WREADY_internal : std_logic; signal data_BVALID_internal : std_logic; signal data_ARREADY_internal : std_logic; signal data_RVALID_internal : std_logic; signal data_address : std_logic_vector(log2(SIZE/BYTES_PER_WORD)-1 downto 0); signal data_read_en : std_logic; signal data_write_en : std_logic; signal data_write_data : std_logic_vector(RAM_WIDTH-1 downto 0); signal data_byte_sel : std_logic_vector(RAM_WIDTH/8-1 downto 0); signal data_en : std_logic; signal data_read_resp_stalled : std_logic; signal data_write_resp_stalled : std_logic; begin instr_RRESP <= (others => '0'); instr_BRESP <= (others => '0'); instr_ARREADY_internal <= (not reset) and (not instr_read_resp_stalled) and (not instr_AWVALID_latched) and (not instr_WVALID_latched); instr_ARREADY <= instr_ARREADY_internal; instr_AWREADY_internal <= (not reset) and (not instr_write_resp_stalled) and (not instr_AWVALID_latched); instr_AWREADY <= instr_AWREADY_internal; instr_WREADY_internal <= instr_AWREADY_internal; instr_WREADY <= (not reset) and (not instr_write_resp_stalled) and (not instr_WVALID_latched); instr_address <= instr_ARADDR(instr_address'left+log2(BYTES_PER_WORD) downto log2(BYTES_PER_WORD)) when instr_read_en = '1' else instr_AWADDR(data_address'left+log2(BYTES_PER_WORD) downto log2(BYTES_PER_WORD)) when instr_AWVALID_latched = '0' else instr_AWADDR_latched(data_address'left+log2(BYTES_PER_WORD) downto log2(BYTES_PER_WORD)); instr_read_en <= instr_ARVALID and instr_ARREADY_internal; instr_write_en <= ((instr_AWVALID and instr_AWREADY_internal) or instr_AWVALID_latched) and ((instr_WVALID and instr_WREADY_internal) or instr_WVALID_latched); instr_write_data <= instr_WDATA when instr_WVALID_latched = '0' else instr_WDATA_latched; instr_byte_sel <= (others => '1') when instr_read_en = '1' else instr_WSTRB when instr_WVALID_latched = '0' else instr_WSTRB_latched; instr_en <= instr_write_en or instr_read_en; instr_read_resp_stalled <= instr_RVALID_internal and (not instr_RREADY); instr_RVALID <= instr_RVALID_internal; instr_write_resp_stalled <= instr_BVALID_internal and (not instr_BREADY); instr_BVALID <= instr_BVALID_internal; data_RRESP <= (others => '0'); data_BRESP <= (others => '0'); data_ARREADY_internal <= (not reset) and (not data_read_resp_stalled) and (not data_AWVALID_latched) and (not data_WVALID_latched); data_ARREADY <= data_ARREADY_internal; data_AWREADY_internal <= (not reset) and (not data_write_resp_stalled) and (not data_AWVALID_latched); data_AWREADY <= data_AWREADY_internal; data_WREADY_internal <= data_AWREADY_internal; data_WREADY <= (not reset) and (not data_write_resp_stalled) and (not data_WVALID_latched); data_address <= data_ARADDR(data_address'left+log2(BYTES_PER_WORD) downto log2(BYTES_PER_WORD)) when data_read_en = '1' else data_AWADDR(data_address'left+log2(BYTES_PER_WORD) downto log2(BYTES_PER_WORD)) when data_AWVALID_latched = '0' else data_AWADDR_latched(data_address'left+log2(BYTES_PER_WORD) downto log2(BYTES_PER_WORD)); data_read_en <= data_ARVALID and data_ARREADY_internal; data_write_en <= ((data_AWVALID and data_AWREADY_internal) or data_AWVALID_latched) and ((data_WVALID and data_WREADY_internal) or data_WVALID_latched); data_write_data <= data_WDATA when data_WVALID_latched = '0' else data_WDATA_latched; data_byte_sel <= (others => '1') when data_read_en = '1' else data_WSTRB when data_WVALID_latched = '0' else data_WSTRB_latched; data_en <= data_write_en or data_read_en; data_read_resp_stalled <= data_RVALID_internal and (not data_RREADY); data_RVALID <= data_RVALID_internal; data_write_resp_stalled <= data_BVALID_internal and (not data_BREADY); data_BVALID <= data_BVALID_internal; instr_port : process(clk) begin if rising_edge(clk) then if instr_AWVALID = '1' and instr_AWREADY_internal = '1' then instr_AWVALID_latched <= '1'; instr_AWADDR_latched <= instr_AWADDR; end if; if instr_WVALID = '1' and instr_WREADY_internal = '1' then instr_WVALID_latched <= '1'; instr_WDATA_latched <= instr_WDATA; instr_WSTRB_latched <= instr_WSTRB; end if; if instr_write_en = '1' then instr_AWVALID_latched <= '0'; instr_WVALID_latched <= '0'; end if; if instr_RREADY = '1' then instr_RVALID_internal <= '0'; end if; if instr_read_en = '1' then instr_RVALID_internal <= '1'; instr_RID <= instr_ARID; end if; if instr_BREADY = '1' then instr_BVALID_internal <= '0'; end if; if instr_write_en = '1' then instr_BVALID_internal <= '1'; instr_BID <= instr_AWID; end if; if reset = '1' then instr_AWVALID_latched <= '0'; instr_WVALID_latched <= '0'; instr_RVALID_internal <= '0'; instr_BVALID_internal <= '0'; end if; end if; end process; data_port : process(clk) begin if rising_edge(clk) then if data_AWVALID = '1' and data_AWREADY_internal = '1' then data_AWVALID_latched <= '1'; data_AWADDR_latched <= data_AWADDR; end if; if data_WVALID = '1' and data_WREADY_internal = '1' then data_WVALID_latched <= '1'; data_WDATA_latched <= data_WDATA; data_WSTRB_latched <= data_WSTRB; end if; if data_write_en = '1' then data_AWVALID_latched <= '0'; data_WVALID_latched <= '0'; end if; if data_RREADY = '1' then data_RVALID_internal <= '0'; end if; if data_read_en = '1' then data_RVALID_internal <= '1'; data_RID <= data_ARID; end if; if data_BREADY = '1' then data_BVALID_internal <= '0'; end if; if data_write_en = '1' then data_BVALID_internal <= '1'; data_BID <= data_AWID; end if; if reset = '1' then data_AWVALID_latched <= '0'; data_WVALID_latched <= '0'; data_RVALID_internal <= '0'; data_BVALID_internal <= '0'; end if; end if; end process; ram : component idram_behav generic map ( RAM_DEPTH => SIZE/4, RAM_WIDTH => RAM_WIDTH, WRITE_FIRST => (WRITE_FIRST_MODE /= 0) ) port map ( clk => clk, instr_address => instr_address, instr_data_in => instr_write_data, instr_we => instr_write_en, instr_en => instr_en, instr_be => instr_byte_sel, instr_readdata => instr_RDATA, data_address => data_address, data_data_in => data_write_data, data_we => data_write_en, data_en => data_en, data_be => data_byte_sel, data_readdata => data_RDATA ); --Only valid for A4L, needs fixing for AXI3/AXI4 instr_RLAST <= '1'; data_RLAST <= '1'; end architecture rtl;
bsd-3-clause
VectorBlox/risc-v
ip/orca/hdl/oimm_register.vhd
1
12133
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library work; use work.rv_components.all; use work.utils.all; use work.constants_pkg.all; entity oimm_register is generic ( ADDRESS_WIDTH : positive; DATA_WIDTH : positive; LOG2_BURSTLENGTH : positive := 2; REQUEST_REGISTER : request_register_type; RETURN_REGISTER : boolean ); port ( clk : in std_logic; reset : in std_logic; register_idle : out std_logic; --ORCA-internal memory-mapped slave slave_oimm_address : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); slave_oimm_burstlength : in std_logic_vector(LOG2_BURSTLENGTH downto 0) := (0 => '1', others => '0'); slave_oimm_burstlength_minus1 : in std_logic_vector(LOG2_BURSTLENGTH-1 downto 0) := (others => '0'); slave_oimm_byteenable : in std_logic_vector((DATA_WIDTH/8)-1 downto 0); slave_oimm_requestvalid : in std_logic; slave_oimm_readnotwrite : in std_logic; slave_oimm_writedata : in std_logic_vector(DATA_WIDTH-1 downto 0); slave_oimm_writelast : in std_logic := '1'; slave_oimm_readdata : out std_logic_vector(DATA_WIDTH-1 downto 0); slave_oimm_readdatavalid : out std_logic; slave_oimm_waitrequest : out std_logic; --ORCA-internal memory-mapped master master_oimm_address : out std_logic_vector(ADDRESS_WIDTH-1 downto 0); master_oimm_burstlength : out std_logic_vector(LOG2_BURSTLENGTH downto 0); master_oimm_burstlength_minus1 : out std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); master_oimm_byteenable : out std_logic_vector((DATA_WIDTH/8)-1 downto 0); master_oimm_requestvalid : out std_logic; master_oimm_readnotwrite : out std_logic; master_oimm_writedata : out std_logic_vector(DATA_WIDTH-1 downto 0); master_oimm_writelast : out std_logic; master_oimm_readdata : in std_logic_vector(DATA_WIDTH-1 downto 0); master_oimm_readdatavalid : in std_logic; master_oimm_waitrequest : in std_logic ); end entity oimm_register; architecture rtl of oimm_register is signal slave_oimm_waitrequest_signal : std_logic; signal master_oimm_requestvalid_signal : std_logic; begin slave_oimm_waitrequest <= slave_oimm_waitrequest_signal; master_oimm_requestvalid <= master_oimm_requestvalid_signal; ----------------------------------------------------------------------------- -- Optional Memory Request Register ----------------------------------------------------------------------------- --Passthrough, lowest fmax but no extra resources or added latency. no_request_register_gen : if REQUEST_REGISTER = OFF generate master_oimm_address <= slave_oimm_address; master_oimm_burstlength <= slave_oimm_burstlength; master_oimm_burstlength_minus1 <= slave_oimm_burstlength_minus1; master_oimm_byteenable <= slave_oimm_byteenable; master_oimm_requestvalid_signal <= slave_oimm_requestvalid; master_oimm_readnotwrite <= slave_oimm_readnotwrite; master_oimm_writedata <= slave_oimm_writedata; master_oimm_writelast <= slave_oimm_writelast; slave_oimm_waitrequest_signal <= master_oimm_waitrequest; register_idle <= '1'; --idle is state-only end generate no_request_register_gen; --Light register; breaks waitrequest/stall combinational path but does not break --address/etc. path. Does not add latency if slave is not asserting --waitrequest, but will reduce throughput if the slave does. light_request_register_gen : if REQUEST_REGISTER = LIGHT generate signal slave_oimm_address_held : std_logic_vector(ADDRESS_WIDTH-1 downto 0); signal slave_oimm_burstlength_held : std_logic_vector(LOG2_BURSTLENGTH downto 0); signal slave_oimm_burstlength_minus1_held : std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); signal slave_oimm_byteenable_held : std_logic_vector((DATA_WIDTH/8)-1 downto 0); signal slave_oimm_requestvalid_held : std_logic; signal slave_oimm_readnotwrite_held : std_logic; signal slave_oimm_writedata_held : std_logic_vector(DATA_WIDTH-1 downto 0); signal slave_oimm_writelast_held : std_logic; begin master_oimm_address <= slave_oimm_address_held when slave_oimm_waitrequest_signal = '1' else slave_oimm_address; master_oimm_burstlength <= slave_oimm_burstlength_held when slave_oimm_waitrequest_signal = '1' else slave_oimm_burstlength; master_oimm_burstlength_minus1 <= slave_oimm_burstlength_minus1_held when slave_oimm_waitrequest_signal = '1' else slave_oimm_burstlength_minus1; master_oimm_byteenable <= slave_oimm_byteenable_held when slave_oimm_waitrequest_signal = '1' else slave_oimm_byteenable; master_oimm_requestvalid_signal <= slave_oimm_requestvalid_held when slave_oimm_waitrequest_signal = '1' else slave_oimm_requestvalid; master_oimm_readnotwrite <= slave_oimm_readnotwrite_held when slave_oimm_waitrequest_signal = '1' else slave_oimm_readnotwrite; master_oimm_writedata <= slave_oimm_writedata_held when slave_oimm_waitrequest_signal = '1' else slave_oimm_writedata; master_oimm_writelast <= slave_oimm_writelast_held when slave_oimm_waitrequest_signal = '1' else slave_oimm_writelast; process(clk) begin if rising_edge(clk) then --When coming out of reset, need to put waitrequest down if slave_oimm_requestvalid_held = '0' then slave_oimm_waitrequest_signal <= '0'; end if; if master_oimm_waitrequest = '0' then slave_oimm_waitrequest_signal <= '0'; end if; if slave_oimm_waitrequest_signal = '0' then slave_oimm_address_held <= slave_oimm_address; slave_oimm_burstlength_held <= slave_oimm_burstlength; slave_oimm_burstlength_minus1_held <= slave_oimm_burstlength_minus1; slave_oimm_byteenable_held <= slave_oimm_byteenable; slave_oimm_requestvalid_held <= slave_oimm_requestvalid; slave_oimm_readnotwrite_held <= slave_oimm_readnotwrite; slave_oimm_writedata_held <= slave_oimm_writedata; slave_oimm_writelast_held <= slave_oimm_writelast; slave_oimm_waitrequest_signal <= master_oimm_waitrequest and slave_oimm_requestvalid; end if; if reset = '1' then slave_oimm_requestvalid_held <= '0'; slave_oimm_waitrequest_signal <= '1'; end if; end if; end process; register_idle <= not slave_oimm_waitrequest_signal; --idle is state-only end generate light_request_register_gen; --Full register; breaks waitrequest/stall combinational path and address/etc. --path. Always adds one cycle of latency but does not reduce throughput. full_request_register_gen : if REQUEST_REGISTER = FULL generate signal registered_oimm_address : std_logic_vector(ADDRESS_WIDTH-1 downto 0); signal registered_oimm_burstlength : std_logic_vector(LOG2_BURSTLENGTH downto 0); signal registered_oimm_burstlength_minus1 : std_logic_vector(LOG2_BURSTLENGTH-1 downto 0); signal registered_oimm_byteenable : std_logic_vector((DATA_WIDTH/8)-1 downto 0); signal registered_oimm_requestvalid : std_logic; signal registered_oimm_readnotwrite : std_logic; signal registered_oimm_writedata : std_logic_vector(DATA_WIDTH-1 downto 0); signal registered_oimm_writelast : std_logic; begin process(clk) begin if rising_edge(clk) then --When coming out of reset, need to put waitrequest down if registered_oimm_requestvalid = '0' then slave_oimm_waitrequest_signal <= '0'; end if; if master_oimm_waitrequest = '0' then master_oimm_requestvalid_signal <= '0'; if registered_oimm_requestvalid = '1' then master_oimm_address <= registered_oimm_address; master_oimm_burstlength <= registered_oimm_burstlength; master_oimm_burstlength_minus1 <= registered_oimm_burstlength_minus1; master_oimm_byteenable <= registered_oimm_byteenable; master_oimm_readnotwrite <= registered_oimm_readnotwrite; master_oimm_requestvalid_signal <= registered_oimm_requestvalid; master_oimm_writedata <= registered_oimm_writedata; master_oimm_writelast <= registered_oimm_writelast; registered_oimm_requestvalid <= '0'; slave_oimm_waitrequest_signal <= '0'; else master_oimm_address <= slave_oimm_address; master_oimm_burstlength <= slave_oimm_burstlength; master_oimm_burstlength_minus1 <= slave_oimm_burstlength_minus1; master_oimm_byteenable <= slave_oimm_byteenable; master_oimm_readnotwrite <= slave_oimm_readnotwrite; master_oimm_requestvalid_signal <= slave_oimm_requestvalid and (not slave_oimm_waitrequest_signal); master_oimm_writedata <= slave_oimm_writedata; master_oimm_writelast <= slave_oimm_writelast; end if; else if slave_oimm_waitrequest_signal = '0' then if master_oimm_requestvalid_signal = '1' then registered_oimm_address <= slave_oimm_address; registered_oimm_burstlength <= slave_oimm_burstlength; registered_oimm_burstlength_minus1 <= slave_oimm_burstlength_minus1; registered_oimm_byteenable <= slave_oimm_byteenable; registered_oimm_requestvalid <= slave_oimm_requestvalid; registered_oimm_readnotwrite <= slave_oimm_readnotwrite; registered_oimm_writedata <= slave_oimm_writedata; registered_oimm_writelast <= slave_oimm_writelast; slave_oimm_waitrequest_signal <= slave_oimm_requestvalid; else master_oimm_address <= slave_oimm_address; master_oimm_burstlength <= slave_oimm_burstlength; master_oimm_burstlength_minus1 <= slave_oimm_burstlength_minus1; master_oimm_byteenable <= slave_oimm_byteenable; master_oimm_readnotwrite <= slave_oimm_readnotwrite; master_oimm_requestvalid_signal <= slave_oimm_requestvalid; master_oimm_writedata <= slave_oimm_writedata; master_oimm_writelast <= slave_oimm_writelast; end if; end if; end if; if reset = '1' then master_oimm_requestvalid_signal <= '0'; registered_oimm_requestvalid <= '0'; slave_oimm_waitrequest_signal <= '1'; end if; end if; end process; register_idle <= not master_oimm_requestvalid_signal; --idle is state-only end generate full_request_register_gen; ----------------------------------------------------------------------------- -- Optional Data Memory Return Register ----------------------------------------------------------------------------- no_return_register_gen : if not RETURN_REGISTER generate slave_oimm_readdata <= master_oimm_readdata; slave_oimm_readdatavalid <= master_oimm_readdatavalid; end generate no_return_register_gen; return_register_gen : if RETURN_REGISTER generate process(clk) begin if rising_edge(clk) then slave_oimm_readdata <= master_oimm_readdata; slave_oimm_readdatavalid <= master_oimm_readdatavalid; end if; end process; end generate return_register_gen; end architecture rtl;
bsd-3-clause
VectorBlox/risc-v
ip/edge_extender/src/edge_extender.vhd
1
1100
library ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity edge_extender is generic ( NUM_CYCLES : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; interrupt_in : in std_logic; interrupt_out : out std_logic ); end entity edge_extender; architecture rtl of edge_extender is signal register_bank : std_logic_vector(NUM_CYCLES-1 downto 0); begin gen_reg_bank : for i in 1 to NUM_CYCLES-1 generate process(clk) begin if rising_edge(clk) then if reset = '1' then register_bank(i) <= '0'; else register_bank(i) <= register_bank(i-1); end if; end if; end process; end generate gen_reg_bank; process(clk) begin if rising_edge(clk) then if reset = '1' then register_bank(0) <= '0'; else register_bank(0) <= interrupt_in; end if; end if; end process; interrupt_out <= '1' when register_bank /= std_logic_vector(to_unsigned(0, NUM_CYCLES)) else '0'; end architecture rtl;
bsd-3-clause
alvieboy/xtc-base
dcache2.vhd
1
23045
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; use ieee.numeric_std.all; library work; use work.xtcpkg.all; use work.wishbonepkg.all; -- synopsys translate_off use work.txt_util.all; -- synopsys translate_on entity dcache is generic ( ADDRESS_HIGH: integer := 31; CACHE_MAX_BITS: integer := 11; -- 8 Kb CACHE_LINE_SIZE_BITS: integer := 6 -- 64 bytes ); port ( syscon: in wb_syscon_type; ci: in dcache_in_type; co: out dcache_out_type; mwbi: in wb_miso_type; mwbo: out wb_mosi_type ); end dcache; architecture behave of dcache is constant CACHE_LINE_ID_BITS: integer := CACHE_MAX_BITS-CACHE_LINE_SIZE_BITS; subtype address_type is std_logic_vector(ADDRESS_HIGH downto 2); -- A line descriptor subtype line_number_type is std_logic_vector(CACHE_LINE_ID_BITS-1 downto 0); -- Offset within a line subtype line_offset_type is std_logic_vector(CACHE_LINE_SIZE_BITS-1-2 downto 0); -- A tag descriptor subtype tag_type is std_logic_vector((ADDRESS_HIGH-CACHE_MAX_BITS) downto 0); -- A full tag memory descriptor. Includes valid bit and dirty bit subtype full_tag_type is std_logic_vector(ADDRESS_HIGH-CACHE_MAX_BITS+2 downto 0); constant VALIDBIT: integer := ADDRESS_HIGH-CACHE_MAX_BITS+1; constant DIRTYBIT: integer := ADDRESS_HIGH-CACHE_MAX_BITS+2; ------------------------------------------------------------------------------ type state_type is ( idle, readline, preparewb, writeback, recover, write_after_fill, settle, flush, directmemory ); constant BURSTWORDS: integer := (2**(CACHE_LINE_SIZE_BITS-2))-1; type regs_type is record req: std_logic; req_addr: address_type; req_we: std_logic; req_wmask: std_logic_vector(3 downto 0); req_data: std_logic_vector(31 downto 0); req_tag: std_logic_vector(31 downto 0); req_accesstype: std_logic_vector(1 downto 0); fill_offset_r: line_offset_type; req_offset: line_offset_type; fill_offset_w: line_offset_type; finished_w: line_offset_type; fill_tag: tag_type; fill_line_number: line_number_type; flush_line_number: line_number_type; fill_r_done: std_logic; ack_write: std_logic; writeback_tag: tag_type; state: state_type; misses: integer; rvalid: std_logic; wr_conflict: std_logic; ack_q: std_logic; ack_q_q: std_logic; flush_req: std_logic; in_flush: std_logic; count: integer range 0 to BURSTWORDS; end record; function address_to_tag(a: in address_type) return tag_type is variable t: tag_type; begin t:= a(ADDRESS_HIGH downto CACHE_MAX_BITS); return t; end address_to_tag; function address_to_line_number(a: in address_type) return line_number_type is variable r: line_number_type; begin r:=a(CACHE_MAX_BITS-1 downto CACHE_LINE_SIZE_BITS); return r; end address_to_line_number; function address_to_line_offset(a: in address_type) return line_offset_type is variable r: line_offset_type; begin r:=a(CACHE_LINE_SIZE_BITS-1 downto 2); return r; end address_to_line_offset; ------------------------------------------------------------------------------ -- extracted values from port A signal line_number: line_number_type; -- Some helpers signal hit: std_logic; --signal miss: std_logic; --attribute keep : string; -- attribute keep of a_miss : signal is "true"; --attribute keep of b_miss : signal is "true"; --signal a_b_conflict: std_logic; -- Connection to tag memory signal tmem_ena: std_logic; signal tmem_wea: std_logic; signal tmem_addra: line_number_type; signal tmem_dia: full_tag_type; signal tmem_doa: full_tag_type; signal tmem_enb: std_logic; signal tmem_web: std_logic; signal tmem_addrb: line_number_type; signal tmem_dib: full_tag_type; signal tmem_dob: full_tag_type; signal cmem_ena: std_logic; signal cmem_wea: std_logic_vector(3 downto 0); signal cmem_dia: std_logic_vector(31 downto 0); signal cmem_doa: std_logic_vector(31 downto 0); signal cmem_addra: std_logic_vector(CACHE_MAX_BITS-1 downto 2); signal cmem_enb: std_logic; signal cmem_web: std_logic_vector(3 downto 0); signal cmem_dib: std_logic_vector(31 downto 0); signal cmem_dob: std_logic_vector(31 downto 0); signal cmem_addrb: std_logic_vector(CACHE_MAX_BITS-1 downto 2); signal r: regs_type; signal same_address: std_logic; constant offset_all_ones: line_offset_type := (others => '1'); constant offset_all_zeroes: line_offset_type := (others => '0'); constant line_number_all_ones: line_number_type := (others => '1'); signal dbg_valid: std_logic; signal dbg_dirty: std_logic; signal dbg_miss: std_logic; signal dbg_tag_address: tag_type; signal dbg_tag_stored: tag_type; signal req_in_progess: std_logic; signal req_last: std_logic; signal wb_stb, wb_cyc: std_logic; begin -- These are alias, but written as signals so we can inspect them line_number <= address_to_line_number(ci.address(address_type'RANGE)); -- TAG memory tagmem: entity work.generic_dp_ram_rf generic map ( address_bits => CACHE_LINE_ID_BITS, data_bits => ADDRESS_HIGH-CACHE_MAX_BITS+3 ) port map ( clka => syscon.clk, ena => tmem_ena, wea => tmem_wea, addra => tmem_addra, dia => tmem_dia, doa => tmem_doa, clkb => syscon.clk, enb => tmem_enb, web => tmem_web, addrb => tmem_addrb, dib => tmem_dib, dob => tmem_dob ); -- Cache memory memgen: for i in 0 to 3 generate cachemem: entity work.generic_dp_ram_rf generic map ( address_bits => cmem_addra'LENGTH, data_bits => 8 ) port map ( clka => syscon.clk, ena => cmem_ena, wea => cmem_wea(i), addra => cmem_addra, dia => cmem_dia(((i+1)*8)-1 downto i*8), doa => cmem_doa(((i+1)*8)-1 downto i*8), clkb => syscon.clk, enb => cmem_enb, web => cmem_web(i), addrb => cmem_addrb, dib => cmem_dib(((i+1)*8)-1 downto i*8), dob => cmem_dob(((i+1)*8)-1 downto i*8) ); end generate; co.in_flush <= r.in_flush; reqcnt_inst: entity work.reqcnt port map ( clk => syscon.clk, rst => syscon.rst, stb => wb_stb, cyc => wb_cyc, stall => mwbi.stall, ack => mwbi.ack, req => req_in_progess, lastreq => req_last, count => open ); mwbo.cyc<=wb_cyc; mwbo.stb<=wb_stb; process(r,syscon.clk,syscon.rst, ci, mwbi, tmem_doa, tmem_doa, tmem_dob, line_number, cmem_doa, cmem_dob,req_last) variable w: regs_type; variable have_request: std_logic; variable will_busy: std_logic; variable valid: std_logic; --variable stall: std_logic; variable miss: std_logic; begin w := r; valid :='0'; --stall:='0'; miss := DontCareValue; will_busy := '0'; co.valid<='0'; co.stall<='0'; wb_cyc <= '0'; wb_stb <= DontCareValue; mwbo.adr <= (others => DontCareValue); mwbo.dat <= (others => DontCareValue); mwbo.tag <= (others => DontCareValue); mwbo.we <= DontCareValue; mwbo.sel<=(others => '1'); tmem_addra <= line_number; tmem_addrb <= address_to_line_number( r.req_addr );--(others => DontCareValue); tmem_ena <= '1'; tmem_wea <= '0'; tmem_enb <= '0'; --tmem_web <= '0'; --tmem_dib(tag_type'RANGE) <= address_to_tag(ci.address(r.req_addr'RANGE));--(others => DontCareValue); tmem_dib(tag_type'RANGE) <= address_to_tag(r.req_addr);--(others => DontCareValue); tmem_dib(DIRTYBIT)<=DontCareValue; tmem_dib(VALIDBIT)<=DontCareValue; tmem_dia <= (others => DontCareValue); -- content memory is accessed at same time as tag memory cmem_addra <= ci.address(CACHE_MAX_BITS-1 downto 2); cmem_addrb <= r.req_addr(CACHE_MAX_BITS-1 downto 2); cmem_ena <= ci.enable and ci.strobe; if ci.we='0' then cmem_wea <= "0000"; else if ci.accesstype/=ACCESS_NOCACHE then cmem_wea <= ci.wmask; else cmem_wea <= "0000"; end if; end if; cmem_web <= "0000"; cmem_dia <= ci.data; cmem_enb <= r.req;-- and not miss; --ci.b_enable; --cmem_dia <= (others => DontCareValue); -- No writes on port A cmem_dib <= r.req_data;--ci.b_data_in;--(others => DontCareValue); co.data <= cmem_doa; --co.b_data_out <= cmem_dob; --w.ack_b_write := '0'; w.rvalid := 'X'; -- synopsys translate_off dbg_valid <= tmem_doa(VALIDBIT); dbg_dirty <= tmem_doa(DIRTYBIT); -- synopsys translate_on tmem_web <= '0'; co.tag <= r.req_tag; w.ack_q_q := '0'; w.ack_q := '0'; -- synopsys translate_off dbg_tag_address<=address_to_tag(r.req_addr); dbg_tag_stored<=tmem_doa(tag_type'RANGE); -- synopsys translate_on case r.state is when idle => co.stall<='0'; -- Now, after reading from tag memory.... if (r.req='1') then -- We had a request, check miss:='1'; if tmem_doa(VALIDBIT)='1' then if tmem_doa(tag_type'RANGE) = address_to_tag(r.req_addr) then miss:='0'; end if; end if; -- For noncache access, we mark it as -- miss. if r.req_accesstype=ACCESS_NOCACHE then miss := '1'; end if; co.valid<=not miss; else co.valid<='0'; miss:='0'; end if; -- Miss handling if miss='1' then -- Invalidate any potential write in cachemem from -- a netx request. --cmem_wea<="0000"; cmem_ena<='0'; if r.req_accesstype/=ACCESS_NOCACHE then co.stall <= '1'; valid := '0'; w.misses := r.misses+1; w.fill_tag := address_to_tag(r.req_addr); w.fill_line_number := address_to_line_number(r.req_addr); w.count := BURSTWORDS; w.fill_r_done := '0'; w.fill_offset_r := r.req_offset; w.fill_offset_w := r.req_offset; if tmem_doa(VALIDBIT)='1' then if tmem_doa(DIRTYBIT)='1' then -- Read/Write miss to a dirty line for a different -- tag. w.writeback_tag := tmem_doa(tag_type'RANGE); -- TODO: if this is a direct access, no need to writeback. w.rvalid := '0'; --w.fill_r_done := '0'; w.fill_offset_r := (others => '0'); w.fill_offset_w := (others => '0'); if r.req_we='1' then --- Oops, we wrote to the wrong line. w.state := recover; -- was writeback else w.state := preparewb; end if; will_busy :='1'; else -- Read/Write to a non-dirty line for a different -- tag. w.state := readline; will_busy :='1'; end if; else -- Read/Write to a non-present line for a different -- tag. if r.req_we='1' then -- It's a write. case r.req_accesstype is when ACCESS_WB_WA => w.state := readline; when ACCESS_WB_NA | ACCESS_WT => -- Non-cacheable access, no allocate or writethrough. -- Need to perform write directly to memory w.state := directmemory; w.fill_r_done := '0'; when others => -- end case; else -- It's a read. w.state := readline; end if; will_busy :='1'; end if; else -- Non-cacheable address. w.state := directmemory; w.fill_r_done := '0'; will_busy := '1'; co.stall<='1'; end if; else -- This is a hit. Make sure we write the dirty bit (for writes). tmem_web<=r.req_we; tmem_enb<=r.req; tmem_dib(DIRTYBIT)<='1'; tmem_dib(VALIDBIT)<='1'; valid := '1'; end if; if r.flush_req='1' then will_busy :='1'; co.stall <= '1'; cmem_enb <= '0'; cmem_dib <= (others => DontCareValue); cmem_addrb <= (others => DontCareValue); w.state := flush; w.fill_line_number := (others => '0'); w.flush_line_number := (others => '0'); end if; have_request := '0'; -- Queue requests if will_busy='0' then w.req := ci.strobe and ci.enable; w.req_we := ci.we; w.req_wmask := ci.wmask; w.req_data := ci.data; w.req_tag := ci.tag; w.req_accesstype := ci.accesstype; co.stall<='0'; if ci.strobe='1' and ci.enable='1' then have_request := '1'; w.req_addr(address_type'RANGE) := ci.address(address_type'RANGE); w.req_offset := address_to_line_offset(ci.address(address_type'RANGE)); end if; end if; when directmemory => co.stall <= '1'; tmem_web <= '0'; mwbo.adr <=(others => '0'); mwbo.adr (ADDRESS_HIGH downto 2) <= r.req_addr; wb_cyc <='1'; wb_stb <=not r.fill_r_done; mwbo.we <= r.req_we; mwbo.sel <= r.req_wmask; mwbo.dat <= r.req_data; mwbo.tag <= r.req_tag; co.data <= mwbi.dat; co.tag <= mwbi.tag; if mwbi.stall='0' then w.fill_r_done:='1'; end if; if mwbi.ack='1' then co.valid<='1'; co.stall<='0'; w.req := ci.strobe and ci.enable; w.req_we := ci.we; w.req_wmask := ci.wmask; w.req_data := ci.data; w.req_tag := ci.tag; w.req_accesstype := ci.accesstype; co.stall<='0'; if ci.strobe='1' and ci.enable='1' then have_request := '1'; w.req_addr(address_type'RANGE) := ci.address(address_type'RANGE); w.req_offset := address_to_line_offset(ci.address(address_type'RANGE)); end if; w.state:=idle; end if; when readline => co.stall <= '1'; tmem_web <= '0'; w.ack_q := mwbi.ack; w.ack_q_q := r.ack_q; mwbo.adr<=(others => '0'); mwbo.adr(ADDRESS_HIGH downto 2) <= r.fill_tag & r.fill_line_number & r.fill_offset_r; mwbo.tag(cmem_addrb'LENGTH-1 downto 0) <= r.fill_line_number & r.fill_offset_r; mwbo.tag(cmem_addrb'LENGTH) <= '1'; wb_cyc<='1'; wb_stb<=not r.fill_r_done; mwbo.we<='0'; cmem_addrb <= mwbi.tag(cmem_addrb'LENGTH-1 downto 0);--r.fill_line_number & r.fill_offset_w; cmem_addra <= r.req_addr(CACHE_MAX_BITS-1 downto 2);--r.req_offset;--(others => DontCareValue); --cmem_enb <= '1'; cmem_enb <= mwbi.tag(cmem_addrb'LENGTH);-- <= '1'; cmem_ena <= '1'; cmem_wea <= (others => '0'); cmem_dia <= (others => 'X'); cmem_web <= (others => mwbi.ack); cmem_dib <= mwbi.dat; if mwbi.stall='0' and r.fill_r_done='0' then if w.count=0 then--r.fill_offset_r = offset_all_ones then w.fill_r_done := '1'; else w.fill_offset_r := std_logic_vector(unsigned(r.fill_offset_r) + 1); w.count := w.count - 1; end if; end if; --if r.ack_q='1' then --end if; if mwbi.ack='1' then w.fill_offset_w := std_logic_vector(unsigned(r.fill_offset_w) + 1); w.finished_w := r.fill_offset_w; --if r.fill_offset_w=offset_all_ones then if r.fill_r_done='1' and req_last='1' then w.state := settle; tmem_addrb <= r.fill_line_number; tmem_dib(tag_type'RANGE) <= r.fill_tag; tmem_dib(VALIDBIT)<='1'; tmem_dib(DIRTYBIT)<=r.req_we; tmem_web<='1'; tmem_enb<='1'; tmem_ena<='0'; if r.req_we='1' then -- Perform write w.state := write_after_fill; end if; end if; else cmem_dia <= (others => DontCareValue); cmem_dib <= (others => DontCareValue); end if; -- Validate read for IWF if true then if r.ack_q_q='1' then if r.finished_w=r.req_offset then co.valid<='1'; co.tag<=r.req_tag; w.req :='0'; end if; end if; end if; when recover => -- Recover lost data on the content memory co.stall <= '1'; cmem_addrb <= r.req_addr(CACHE_MAX_BITS-1 downto 2); cmem_enb <= '1'; cmem_web <= "1111"; cmem_dib <= cmem_doa; cmem_wea<="0000"; -- Don't allow writes. -- synthesis translate_off --report "Recover write"; -- synthesis translate_on w.rvalid := '0'; w.fill_r_done := '0'; w.state := preparewb; when writeback => co.stall <= '1'; w.rvalid := '1'; mwbo.adr <=(others => '0'); mwbo.adr(ADDRESS_HIGH downto 2) <= r.writeback_tag & r.fill_line_number & r.fill_offset_w; wb_cyc <= '1';--r.rvalid ; --'1'; wb_stb <= not r.fill_r_done; mwbo.we <= '1';--r.rvalid; --1'; mwbo.sel <= (others => '1'); mwbo.tag(cmem_addrb'LENGTH) <= '0'; if mwbi.stall='0' and r.fill_r_done='0' then if w.count=0 then w.fill_r_done := '1'; else w.fill_offset_r := std_logic_vector(unsigned(r.fill_offset_r) + 1); w.fill_offset_w := r.fill_offset_r; w.count := w.count - 1; end if; end if; if req_last='1' and r.count=0 then if r.in_flush='1' then w.state := flush; w.in_flush:='0'; else w.fill_offset_r := r.req_offset;--(others => '0'); w.fill_offset_w := r.req_offset;--(others => '0'); w.fill_r_done := '0'; w.count := BURSTWORDS; w.state := readline; end if; end if; mwbo.dat <= cmem_dob; cmem_addrb <= (r.fill_line_number & r.fill_offset_r) ; cmem_enb <= not mwbi.stall;-- or not r.rvalid; cmem_addra <= (others => DontCareValue); cmem_web <= (others=>'0'); --cmem_wea<="0000"; -- Don't allow writes. cmem_ena <= '0'; when preparewb => co.stall <= '1'; mwbo.dat <= cmem_dob; cmem_addrb <= (r.fill_line_number & r.fill_offset_r) ; cmem_enb <= '1'; cmem_addra <= (others => DontCareValue); cmem_web <= (others=>'0'); cmem_ena <= '0'; w.fill_offset_r := std_logic_vector(unsigned(r.fill_offset_r) + 1); w.count := BURSTWORDS; w.state := writeback; --cmem_wea<="0000"; -- Don't allow writes. when write_after_fill => cmem_addra <= (others => DontCareValue); cmem_addrb <= r.req_addr(CACHE_MAX_BITS-1 downto 2); cmem_dib <= r.req_data; cmem_web <= r.req_wmask; cmem_enb <= '1'; cmem_ena <= '0'; cmem_dia <= (others => 'X'); cmem_wea <= (others => 'X'); co.stall <= '1'; --b_stall := '1'; valid := '0'; -- ERROR --b_valid := '0'; -- ERROR --w.ack_b_write := '1'; w.state := settle; when settle => cmem_addra <= r.req_addr(CACHE_MAX_BITS-1 downto 2);--r.fill_tag & r.fill_line_number & r.fill_offset_w; cmem_addrb <= r.req_addr(CACHE_MAX_BITS-1 downto 2);--r.fill_tag & r.fill_line_number & r.fill_offset_w; cmem_web <= (others => '0'); cmem_wea <= (others => '0'); tmem_ena <= '1'; cmem_ena <= '1'; tmem_addra <= address_to_line_number(r.req_addr); tmem_addrb <= address_to_line_number(r.req_addr); co.stall <= '1'; --b_stall := '1'; tmem_web <= '0'; valid := '0'; -- ERROR --b_valid := '0';--r.ack_b_write; -- ERROR -- note: don't ack writes w.state := idle; when flush => co.stall<='1'; valid:='0'; tmem_addrb <= r.flush_line_number; tmem_addra <= (others => DontCareValue); tmem_ena <='0'; tmem_wea <='0'; tmem_dib(VALIDBIT)<='0'; tmem_dib(DIRTYBIT)<='0'; tmem_web<='1'; tmem_enb<='1'; cmem_enb <= '0'; cmem_addra <= (others => DontCareValue); cmem_addrb <= (others => DontCareValue); cmem_dia <= (others => DontCareValue); cmem_dib <= (others => DontCareValue); cmem_ena <= '0'; w.flush_line_number := r.flush_line_number+1; w.fill_offset_r := (others => '0'); w.in_flush := '1'; w.flush_req := '0'; -- w.fill_offset_r := (others => '0'); w.fill_offset_w := (others => '0'); -- only valid in next cycle if r.in_flush='1' and tmem_dob(VALIDBIT)='1' and tmem_dob(DIRTYBIT)='1' then -- report "Need to wb" severity note; w.writeback_tag := tmem_dob(tag_type'RANGE); -- NOTE: can we use tmem_doa ? --w.fill_is_b := '1'; tmem_web<='0'; w.fill_offset_r := (others => '0'); w.flush_line_number := r.flush_line_number; w.fill_r_done := '0'; w.rvalid := '1'; w.state := preparewb; else w.fill_line_number := r.flush_line_number; if r.fill_line_number = line_number_all_ones then --r.in_flush='1' and r.fill_line_number=line_number_all_zeroes then w.state := idle; w.in_flush :='0'; end if; end if; end case; if ci.flush='1' then w.flush_req :='1'; end if; if syscon.rst='1' then w.req := '0'; w.misses :=0; w.flush_req :='1'; w.in_flush :='0'; w.req:='0'; w.req_we:='0'; --r.fill_line_number := (others => '0'); -- r.flush_line_number := (others => '0'); --r.state <= flush; w.state := idle; --co.valid <= '0'; end if; if rising_edge(syscon.clk) then --co.valid <= valid; --co.b_valid <= b_valid; --co.a_stall <= a_stall; --co.b_stall <= b_stall; r <= w; end if; dbg_miss<=miss; end process; co.err <= '0'; end behave;
bsd-3-clause
alvieboy/xtc-base
sim.vhd
1
3086
library ieee; use ieee.std_logic_1164.all; use std.textio.all; package sim is procedure hexread(L : inout line; value:out bit_vector); procedure hexread(L : inout line; value:out std_logic_vector); function ishex(c : character) return boolean; end package; package body sim is procedure char2hex(C: character; result: out bit_vector(3 downto 0); good: out boolean; report_error: in boolean) is begin good := true; case C is when '0' => result := x"0"; when '1' => result := x"1"; when '2' => result := X"2"; when '3' => result := X"3"; when '4' => result := X"4"; when '5' => result := X"5"; when '6' => result := X"6"; when '7' => result := X"7"; when '8' => result := X"8"; when '9' => result := X"9"; when 'A' => result := X"A"; when 'B' => result := X"B"; when 'C' => result := X"C"; when 'D' => result := X"D"; when 'E' => result := X"E"; when 'F' => result := X"F"; when 'a' => result := X"A"; when 'b' => result := X"B"; when 'c' => result := X"C"; when 'd' => result := X"D"; when 'e' => result := X"E"; when 'f' => result := X"F"; when others => if report_error then assert false report "hexread error: read a '" & C & "', expected a hex character (0-F)."; end if; good := false; end case; end; procedure hexread(L:inout line; value:out bit_vector) is variable OK: boolean; variable C: character; constant NE: integer := value'length/4; --' variable BV: bit_vector(0 to value'length-1); --' variable S: string(1 to NE-1); begin if value'length mod 4 /= 0 then --' assert false report "hexread Error: Trying to read vector " & "with an odd (non multiple of 4) length"; return; end if; loop -- skip white space read(L,C); exit when ((C /= ' ') and (C /= CR) and (C /= HT)); end loop; char2hex(C, BV(0 to 3), OK, false); if not OK then return; end if; read(L, S, OK); -- if not OK then -- assert false report "hexread Error: Failed to read the STRING"; -- return; -- end if; for I in 1 to NE-1 loop char2hex(S(I), BV(4*I to 4*I+3), OK, false); if not OK then return; end if; end loop; value := BV; end hexread; procedure hexread(L:inout line; value:out std_ulogic_vector) is variable tmp: bit_vector(value'length-1 downto 0); --' begin hexread(L, tmp); value := TO_X01(tmp); end hexread; procedure hexread(L:inout line; value:out std_logic_vector) is variable tmp: std_ulogic_vector(value'length-1 downto 0); --' begin hexread(L, tmp); value := std_logic_vector(tmp); end hexread; function ishex(c:character) return boolean is variable tmp : bit_vector(3 downto 0); variable OK : boolean; begin char2hex(C, tmp, OK, false); return OK; end ishex; end ;
bsd-3-clause
alvieboy/xtc-base
romram.vhd
1
3913
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.xtcpkg.all; entity romram is generic ( BITS: integer := 32 ); port ( ram_wb_clk_i: in std_logic; ram_wb_rst_i: in std_logic; ram_wb_ack_o: out std_logic; ram_wb_dat_i: in std_logic_vector(31 downto 0); ram_wb_dat_o: out std_logic_vector(31 downto 0); ram_wb_tag_o: out std_logic_vector(31 downto 0); ram_wb_tag_i: in std_logic_vector(31 downto 0); ram_wb_adr_i: in std_logic_vector(BITS-1 downto 2); ram_wb_cyc_i: in std_logic; ram_wb_stb_i: in std_logic; ram_wb_we_i: in std_logic; ram_wb_stall_o: out std_logic; ram_wb_sel_i: in std_logic_vector(3 downto 0); rom_wb_clk_i: in std_logic; rom_wb_rst_i: in std_logic; rom_wb_ack_o: out std_logic; rom_wb_dat_o: out std_logic_vector(31 downto 0); rom_wb_tag_i: in std_logic_vector(31 downto 0); rom_wb_tag_o: out std_logic_vector(31 downto 0); rom_wb_adr_i: in std_logic_vector(BITS-1 downto 2); rom_wb_cyc_i: in std_logic; rom_wb_stb_i: in std_logic; rom_wb_stall_o: out std_logic ); end entity romram; architecture behave of romram is component internalram is port ( CLKA: in std_logic; WEA: in std_logic; ENA: in std_logic; MASKA: in std_logic_vector(3 downto 0); ADDRA: in std_logic_vector(BITS-1 downto 2); DIA: in std_logic_vector(31 downto 0); DOA: out std_logic_vector(31 downto 0); CLKB: in std_logic; WEB: in std_logic; ENB: in std_logic; ADDRB: in std_logic_vector(BITS-1 downto 2); DIB: in std_logic_vector(31 downto 0); MASKB: in std_logic_vector(3 downto 0); DOB: out std_logic_vector(31 downto 0) ); end component; signal rom_enable: std_logic; signal ram_enable: std_logic; signal romack, ramack: std_logic; constant nothing: std_logic_vector(31 downto 0) := (others => '0'); signal rom_data: std_logic_vector(31 downto 0); begin rom_enable <= rom_wb_stb_i and rom_wb_cyc_i; ram_enable <= ram_wb_stb_i and ram_wb_cyc_i; rom_wb_stall_o <= '0'; ram_wb_stall_o <= '0'; rom_wb_ack_o <= romack; ram_wb_ack_o <= ramack; -- ACK processing (pipelined) cache: if INSTRUCTION_CACHE generate process(rom_wb_clk_i) begin if rising_edge(rom_wb_clk_i) then if rom_wb_rst_i='1' then romack <= '0'; else --if rom_enable='1' then romack <= rom_enable; if rom_enable='1' then rom_wb_tag_o <= rom_wb_tag_i; end if; --end if; end if; end if; end process; end generate; nocache: if not INSTRUCTION_CACHE generate process(rom_wb_clk_i) begin if rising_edge(rom_wb_clk_i) then if rom_wb_rst_i='1' then romack <= '0'; else romack <= '1'; rom_wb_tag_o <= rom_wb_tag_i; end if; end if; end process; end generate; -- ACK processing (pipelined) process(ram_wb_clk_i) begin if rising_edge(ram_wb_clk_i) then if ram_wb_rst_i='1' then ramack <= '0'; else ramack <= ram_enable; if ram_enable='1' then ram_wb_tag_o <= ram_wb_tag_i; end if; end if; end if; end process; rom_wb_dat_o <= rom_data; ram: internalram port map ( CLKA => rom_wb_clk_i, CLKB => ram_wb_clk_i, WEA => '0', ENA => rom_enable, MASKA => "1111", ADDRA => rom_wb_adr_i(BITS-1 downto 2), DIA => nothing, DOA => rom_data, WEB => ram_wb_we_i, ENB => ram_enable, ADDRB => ram_wb_adr_i(BITS-1 downto 2), DIB => ram_wb_dat_i, MASKB => ram_wb_sel_i, DOB => ram_wb_dat_o ); end behave;
bsd-3-clause
alvieboy/xtc-base
xtccomppkg.old.vhd
1
18203
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.xtcpkg.all; use work.wishbonepkg.all; package xtccomppkg is component generic_dp_ram is generic ( address_bits: integer := 8; data_bits: integer := 32 ); port ( clka: in std_logic; ena: in std_logic; wea: in std_logic; addra: in std_logic_vector(address_bits-1 downto 0); dia: in std_logic_vector(data_bits-1 downto 0); doa: out std_logic_vector(data_bits-1 downto 0); clkb: in std_logic; enb: in std_logic; web: in std_logic; addrb: in std_logic_vector(address_bits-1 downto 0); dib: in std_logic_vector(data_bits-1 downto 0); dob: out std_logic_vector(data_bits-1 downto 0) ); end component; component generic_dp_ram_r is generic ( address_bits: integer := 8; srval_1: std_logic_vector(31 downto 0); srval_2: std_logic_vector(31 downto 0) ); port ( clka: in std_logic; ena: in std_logic; wea: in std_logic; addra: in std_logic_vector(address_bits-1 downto 0); ssra: in std_logic; dia: in std_logic_vector(31 downto 0); doa: out std_logic_vector(31 downto 0); clkb: in std_logic; enb: in std_logic; ssrb: in std_logic; web: in std_logic; addrb: in std_logic_vector(address_bits-1 downto 0); dib: in std_logic_vector(31 downto 0); dob: out std_logic_vector(31 downto 0); -- RTL Debug access dbg_addr: in std_logic_vector(address_bits-1 downto 0); dbg_do: out std_logic_vector(32-1 downto 0) ); end component; component xtc is port ( wb_syscon: in wb_syscon_type; -- Master wishbone interface wbo: out wb_mosi_type; wbi: in wb_miso_type; -- ROM wb interface romwbo: out wb_mosi_type; romwbi: in wb_miso_type; nmi: in std_logic; nmiack: out std_logic; rstreq: out std_logic; break: out std_logic; intack: out std_logic; edbg: in memory_debug_type ); end component; component icache is generic ( ADDRESS_HIGH: integer := 26 ); port ( wb_clk_i: in std_logic; wb_rst_i: in std_logic; valid: out std_logic; data: out std_logic_vector(31 downto 0); address: in std_logic_vector(31 downto 0); strobe: in std_logic; enable: in std_logic; stall: out std_logic; flush: in std_logic; abort: in std_logic; tag: in std_logic_vector(31 downto 0); tagen: in std_logic; -- Master wishbone interface m_wb_ack_i: in std_logic; m_wb_dat_i: in std_logic_vector(31 downto 0); m_wb_dat_o: out std_logic_vector(31 downto 0); m_wb_adr_o: out std_logic_vector(31 downto 0); m_wb_cyc_o: out std_logic; m_wb_stb_o: out std_logic; m_wb_stall_i: in std_logic; m_wb_we_o: out std_logic ); end component; component mux32_4 is port ( i0: in std_logic_vector(31 downto 0); i1: in std_logic_vector(31 downto 0); i2: in std_logic_vector(31 downto 0); i3: in std_logic_vector(31 downto 0); sel: in std_logic_vector(1 downto 0); o: out std_logic_vector(31 downto 0) ); end component; component mux32_2 is port ( i0: in std_logic_vector(31 downto 0); i1: in std_logic_vector(31 downto 0); sel: in std_logic; o: out std_logic_vector(31 downto 0) ); end component mux32_2; component alu is port ( clk: in std_logic; rst: in std_logic; a: in unsigned(31 downto 0); b: in unsigned(31 downto 0); o: out unsigned(31 downto 0); y: out unsigned(31 downto 0); op: in alu_op_type; en: in std_logic; ci: in std_logic; cen:in std_logic; busy: out std_logic; valid: out std_logic; co: out std_logic; zero: out std_logic; ovf: out std_logic; sign: out std_logic ); end component; component fetch is port ( clk: in std_logic; rst: in std_logic; -- Connection to ROM stall: in std_logic; valid: in std_logic; address: out std_logic_vector(31 downto 0); read: in std_logic_vector(31 downto 0); enable: out std_logic; strobe: out std_logic; abort: out std_logic; nseq: out std_logic; -- Control freeze: in std_logic; jump: in std_logic; jumpaddr: in word_type; dual: in std_logic; -- Outputs for next stages fuo: out fetch_output_type ); end component; component decode is port ( clk: in std_logic; rst: in std_logic; -- Input for previous stages fui: in fetch_output_type; -- Output for next stages duo: out decode_output_type; busy: out std_logic; freeze: in std_logic; flush: in std_logic; jump: in std_logic; jumpmsb: in std_logic; dual: out std_logic ); end component; component fetchdata is port ( clk: in std_logic; rst: in std_logic; -- Register access r1_en: out std_logic; r1_addr: out regaddress_type; r1_read: in word_type_std; r2_en: out std_logic; r2_addr: out regaddress_type; r2_read: in word_type_std; w_addr: out regaddress_type; w_en: out std_logic; -- Input for previous stages dui: in decode_output_type; freeze: in std_logic; flush: in std_logic; refetch: in std_logic; executed: in boolean; clrhold: in std_logic; -- Output for next stages fduo: out fetchdata_output_type ); end component; component execute is port ( clk: in std_logic; rst: in std_logic; mem_busy: in std_logic; busy: out std_logic; refetch: in std_logic; wb_busy: in std_logic; int: in std_logic; intline: in std_logic_vector(7 downto 0); nmi: in std_logic; nmiack: out std_logic; -- Input for previous stages fdui: in fetchdata_output_type; -- Output for next stages euo: out execute_output_type; -- Input from memory unit, for SPR update mui: in memory_output_type; -- Coprocessor interface co: out copifo; ci: in copifi; dbgo: out execute_debug_type ); end component execute; component memory is port ( clk: in std_logic; rst: in std_logic; -- Memory interface wb_ack_i: in std_logic; wb_err_i: in std_logic; wb_dat_i: in std_logic_vector(31 downto 0); wb_dat_o: out std_logic_vector(31 downto 0); wb_adr_o: out std_logic_vector(31 downto 0); wb_tag_o: out std_logic_vector(31 downto 0); wb_tag_i: in std_logic_vector(31 downto 0); wb_cyc_o: out std_logic; wb_stb_o: out std_logic; wb_sel_o: out std_logic_vector(3 downto 0); wb_we_o: out std_logic; wb_stall_i: in std_logic; protw: in std_logic_vector(31 downto 0); proten: in std_logic; busy: out std_logic; refetch: out std_logic; dbgo: out memory_debug_type; -- Input for previous stages eui: in execute_output_type; -- Output for next stages muo: out memory_output_type ); end component memory; component writeback is port ( clk: in std_logic; rst: in std_logic; busy: out std_logic; -- Register 0 access writeback r0_en: out std_logic; r0_we: out std_logic; r0_addr: out regaddress_type; r0_write: out word_type_std; -- Register 1 access writeback r1_en: out std_logic; r1_we: out std_logic; r1_addr: out regaddress_type; r1_write: out word_type_std; -- Input for previous stages mui: in memory_output_type; eui: in execute_output_type ); end component; component regbank_2p is generic ( ADDRESS_BITS: integer := 4 ); port ( clk: in std_logic; rb1_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb1_en: in std_logic; rb1_rd: out std_logic_vector(31 downto 0); rb2_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb2_wr: in std_logic_vector(31 downto 0); rb2_we: in std_logic; rb2_en: in std_logic; -- RTL Debug access dbg_addr: in std_logic_vector(address_bits-1 downto 0) := (others => '0'); dbg_do: out std_logic_vector(32-1 downto 0) ); end component; component regbank_3p is generic ( ADDRESS_BITS: integer := 4 ); port ( clk: in std_logic; rb1_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb1_en: in std_logic; rb1_rd: out std_logic_vector(31 downto 0); rb2_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb2_en: in std_logic; rb2_rd: out std_logic_vector(31 downto 0); rb3_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb3_wr: in std_logic_vector(31 downto 0); rb3_we: in std_logic; rb3_en: in std_logic -- RTL Debug access --dbg_addr: in std_logic_vector(address_bits-1 downto 0) := (others => '0'); --dbg_do: out std_logic_vector(32-1 downto 0) ); end component; component opdec is port ( opcode_low: in std_logic_vector(15 downto 0); opcode_high: in std_logic_vector(15 downto 0); dec: out opdec_type ); end component; component taint is generic ( COUNT: integer := 16 ); port ( clk: in std_logic; rst: in std_logic; req1_en: in std_logic; req1_r: in regaddress_type; req2_en: in std_logic; req2_r: in regaddress_type; ready: out std_logic; set_en: in std_logic; set_r: in regaddress_type; clr_en: in std_logic; clr_r: in regaddress_type; taint: out std_logic_vector(COUNT-1 downto 0) ); end component; component wbmux2 is generic ( select_line: integer; address_high: integer:=31; address_low: integer:=2 ); port ( wb_syscon: in wb_syscon_type; -- Master m_wbi: in wb_mosi_type; m_wbo: out wb_miso_type; -- Slave signals s0_wbo: out wb_mosi_type; s0_wbi: in wb_miso_type; s1_wbo: out wb_mosi_type; s1_wbi: in wb_miso_type ); end component; component xtc_wbmux2 is generic ( select_line: integer; address_high: integer:=31; address_low: integer:=2 ); port ( wb_syscon: in wb_syscon_type; -- Master m_wbi: in wb_mosi_type; m_wbo: out wb_miso_type; -- Slave signals s0_wbo: out wb_mosi_type; s0_wbi: in wb_miso_type; s1_wbo: out wb_mosi_type; s1_wbi: in wb_miso_type ); end component; component wbarb2_1 is generic ( ADDRESS_HIGH: integer := 31; ADDRESS_LOW: integer := 0 ); port ( wb_syscon: in wb_syscon_type; -- Master 0 signals m0_wbi: in wb_mosi_type; m0_wbo: out wb_miso_type; -- Master 1 signals m1_wbi: in wb_mosi_type; m1_wbo: out wb_miso_type; -- Slave signals s0_wbi: in wb_miso_type; s0_wbo: out wb_mosi_type ); end component; component wb_master_p_to_slave_np is port ( syscon: in wb_syscon_type; -- Master signals mwbi: in wb_mosi_type; mwbo: out wb_miso_type; -- Slave signals swbi: in wb_miso_type; swbo: out wb_mosi_type ); end component; component xtc_top_bram is port ( wb_syscon: in wb_syscon_type; -- IO wishbone interface iowbo: out wb_mosi_type; iowbi: in wb_miso_type ); end component; component xtc_top_sdram is port ( wb_syscon: in wb_syscon_type; -- IO wishbone interface iowbo: out wb_mosi_type; iowbi: in wb_miso_type; -- DMA dmawbi: in wb_mosi_type; dmawbo: out wb_miso_type; nmi: in std_logic; nmiack: out std_logic; rstreq: out std_logic; -- extra clocking clk_off_3ns: in std_logic; -- SDRAM signals DRAM_ADDR : OUT STD_LOGIC_VECTOR (11 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC ); end component; component regbank_5p is generic ( ADDRESS_BITS: integer := 4 ); port ( clk: in std_logic; rb1_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb1_en: in std_logic; rb1_rd: out std_logic_vector(31 downto 0); rb2_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb2_en: in std_logic; rb2_rd: out std_logic_vector(31 downto 0); rb3_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb3_en: in std_logic; rb3_rd: out std_logic_vector(31 downto 0); rb4_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb4_en: in std_logic; rb4_rd: out std_logic_vector(31 downto 0); rbw_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rbw_wr: in std_logic_vector(31 downto 0); rbw_we: in std_logic; rbw_en: in std_logic; -- RTL Debug access dbg_addr: in std_logic_vector(address_bits-1 downto 0) := (others => '0'); dbg_do: out std_logic_vector(32-1 downto 0) ); end component regbank_5p; component regbank_4r_2w is generic ( ADDRESS_BITS: integer := 4 ); port ( clk: in std_logic; rb1_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb1_en: in std_logic; rb1_rd: out std_logic_vector(31 downto 0); rb2_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb2_en: in std_logic; rb2_rd: out std_logic_vector(31 downto 0); rb3_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb3_en: in std_logic; rb3_rd: out std_logic_vector(31 downto 0); rb4_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rb4_en: in std_logic; rb4_rd: out std_logic_vector(31 downto 0); rbw1_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rbw1_wr: in std_logic_vector(31 downto 0); rbw1_we: in std_logic; rbw1_en: in std_logic; rbw2_addr: in std_logic_vector(ADDRESS_BITS-1 downto 0); rbw2_wr: in std_logic_vector(31 downto 0); rbw2_we: in std_logic; rbw2_en: in std_logic; -- RTL Debug access dbg_addr: in std_logic_vector(address_bits-1 downto 0) := (others => '0'); dbg_do: out std_logic_vector(32-1 downto 0) ); end component; component insnqueue is port ( rst: in std_logic; clkw: in std_logic; din: in std_logic_vector(15 downto 0); en: in std_logic; clr: in std_logic; full: out std_logic; clkr: in std_logic; pop: in std_logic; dualpop: in std_logic; dout0: out std_logic_vector(15 downto 0); dout1: out std_logic_vector(15 downto 0); empty: out std_logic; dvalid: out std_logic ); end component; component xtc_ioctrl is port ( syscon: in wb_syscon_type; wbi: in wb_mosi_type; wbo: out wb_miso_type; -- Slaves swbi: in slot_wbi; swbo: out slot_wbo; sids: in slot_ids ); end component xtc_ioctrl; component mmu is generic ( TLB_ENTRY_BITS: natural := 3; CONTEXT_SIZE_BITS: natural := 6; SIMPLIFIED: boolean := true ); port ( clk: in std_logic; rst: in std_logic; addr: in std_logic_vector(31 downto 0); ctx: in std_logic_vector(CONTEXT_SIZE_BITS-1 downto 0); en: in std_logic; tlbw: in std_logic; tlba: in std_logic_vector(TLB_ENTRY_BITS-1 downto 0); tlbv: in tlb_entry_type; paddr: out std_logic_vector(31 downto 0); valid: out std_logic; pw: out std_logic; -- Write permission pr: out std_logic; -- Read permission px: out std_logic; -- eXecute permission ps: out std_logic -- Supervisor/User ); end component; component dcache is generic ( ADDRESS_HIGH: integer := 31; CACHE_MAX_BITS: integer := 13; -- 8 Kb CACHE_LINE_SIZE_BITS: integer := 6 -- 64 bytes ); port ( syscon: in wb_syscon_type; ci: in dcache_in_type; co: out dcache_out_type; mwbi: in wb_miso_type; mwbo: out wb_mosi_type ); end component; component generic_dp_ram_rf is generic ( address_bits: integer := 8; data_bits: integer := 32 ); port ( clka: in std_logic; ena: in std_logic; wea: in std_logic; addra: in std_logic_vector(address_bits-1 downto 0); dia: in std_logic_vector(data_bits-1 downto 0); doa: out std_logic_vector(data_bits-1 downto 0); clkb: in std_logic; enb: in std_logic; web: in std_logic; addrb: in std_logic_vector(address_bits-1 downto 0); dib: in std_logic_vector(data_bits-1 downto 0); dob: out std_logic_vector(data_bits-1 downto 0) ); end component; component nodev is port ( syscon: in wb_syscon_type; wbi: in wb_mosi_type; wbo: out wb_miso_type ); end component; component sinkdev is port ( syscon: in wb_syscon_type; wbi: in wb_mosi_type; wbo: out wb_miso_type ); end component; end package;
bsd-3-clause
shvorin/pcie-emu
hdllib/common/util.vhd
1
13658
-- Copyright (c) 2011-2014, Ailamazyan Program Systems Institute (Russian -- Academy of Science). See COPYING in top-level directory. -- This package contains common types and functions; not router-specific stuff. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc; use work.types.all; package util is function maximum(constant t1, t2 : natural) return natural; function maximum(constant a : integer_array) return integer; function minimum(constant t1, t2 : natural) return natural; function minimum(constant t1, t2 : std_logic_vector) return std_logic_vector; function minimum(constant a : integer_array) return integer; -- nearly the same as UNSIGNED_NUM_BITS from ieee.numeric_bit -- NB: UNSIGNED_NUM_BITS seem to be incorrect ;) function ceil_log2 (constant x : positive) return natural; function nor_reduce(v : std_logic_vector) return std_logic; function or_reduce(v : std_logic_vector) return std_logic; function and_reduce(v : std_logic_vector) return std_logic; function nand_reduce(v : std_logic_vector) return std_logic; function nor_reduce(v : std_logic_vector) return boolean; function or_reduce(v : std_logic_vector) return boolean; function and_reduce(v : std_logic_vector) return boolean; function nand_reduce(v : std_logic_vector) return boolean; -- "unary logarithm": finds the highest set bit and drops all other bits; -- if argument is zero the result is also zero function unary_log (constant v : std_logic_vector) return std_logic_vector; function "and" (constant arg : std_logic_vector; constant s : std_logic) return std_logic_vector; -- encodes bit range into binary representation (i.e. returns the number of -- (the only) lit bit, otherwise result is meaningless). FIXME: why to -- (re-)implement a simple library function?! function encode (constant arg : std_logic_vector) return natural; function to_stdl (constant v : in boolean) return std_logic; -- drop all bits except the lowest fired function lowest_fired (arg : std_logic_vector) return std_logic_vector; function invert(arg : std_logic_vector) return std_logic_vector; function singleton(arg : std_logic) return std_logic_vector; function align2_down(v : std_logic_vector) return std_logic_vector; function align8_down(v : std_logic_vector) return std_logic_vector; function align8_up(v : std_logic_vector) return std_logic_vector; -- treat segment descriptor function desc2mask(x : std_logic_vector) return std_logic_vector; function desc2base(x : std_logic_vector) return std_logic_vector; -- -- optimized version; hint_logsize must be guaranteed to be no greater than -- actual logsize function desc2mask(x : std_logic_vector; hint_logsize : natural; exact : boolean := false) return std_logic_vector; function desc2base(x : std_logic_vector; hint_logsize : natural; exact : boolean := false) return std_logic_vector; function extend64(v : std_logic_vector) return qword; function extend64(i : integer) return qword; function extend(size : natural; v : std_logic_vector) return std_logic_vector; -- from std_logic_1164_additions function to_hstring (value : std_ulogic_vector) return string; function to_hstring (value : std_logic_vector) return string; function reverse(x : std_logic_vector) return std_logic_vector; end util; package body util is function ceil_log2 (constant x : positive) return natural is function hlp (constant x : natural) return natural is begin if x < 1 then return 0; else return 1 + hlp(x/2); end if; end; begin -- ceil_log2 return hlp(x-1); end ceil_log2; function nor_reduce(v : std_logic_vector) return std_logic is begin return std_logic_misc.nor_reduce(v); end; function or_reduce(v : std_logic_vector) return std_logic is begin return std_logic_misc.or_reduce(v); end; function and_reduce(v : std_logic_vector) return std_logic is begin return std_logic_misc.and_reduce(v); end; function nand_reduce(v : std_logic_vector) return std_logic is begin return std_logic_misc.nand_reduce(v); end; function nor_reduce(v : std_logic_vector) return boolean is begin return nor_reduce(v) = '1'; end; function or_reduce(v : std_logic_vector) return boolean is begin return or_reduce(v) = '1'; end; function and_reduce(v : std_logic_vector) return boolean is begin return and_reduce(v) = '1'; end; function nand_reduce(v : std_logic_vector) return boolean is begin return nand_reduce(v) = '1'; end; function unary_log (constant v : std_logic_vector) return std_logic_vector is alias u : std_logic_vector(v'high downto v'low) is v; variable result : std_logic_vector(u'range); begin for i in u'range loop if i = u'high then result(i) := u(i); else result(i) := u(i) and nor_reduce(u(u'high downto i+1)); -- FIXME end if; end loop; -- i return result; end unary_log; function maximum (constant t1, t2 : natural) return natural is begin if t1 > t2 then return t1; else return t2; end if; end maximum; function maximum (constant a : integer_array) return integer is variable result : integer := a(a'low); begin for i in a'range loop if a(i) > result then result := a(i); end if; end loop; return result; end; function minimum (constant t1, t2 : natural) return natural is begin if t1 < t2 then return t1; else return t2; end if; end minimum; function minimum (constant t1, t2 : std_logic_vector) return std_logic_vector is begin if t1 < t2 then return t1; else return t2; end if; end; function minimum (constant a : integer_array) return integer is variable result : integer := a(a'low); begin for i in a'range loop if a(i) < result then result := a(i); end if; end loop; return result; end; function "and" (constant arg : std_logic_vector; constant s : std_logic) return std_logic_vector is variable result : std_logic_vector(arg'range); begin -- "and" for i in arg'range loop result(i) := arg(i) and s; end loop; -- i return result; end "and"; function encode (constant arg : std_logic_vector) return natural is alias xarg : std_logic_vector(0 to arg'length-1) is arg; constant sz : natural := ceil_log2(xarg'length); variable result : std_logic_vector(sz-1 downto 0); variable sum : std_logic; variable b, p : natural; variable t : natural := 1; begin for i in 0 to sz-1 loop b := t; t := 2 * t; p := t; sum := '0'; eval_result_i : loop exit when b > xarg'high; sum := sum or not nor_reduce(xarg(xarg'low + b to xarg'low + minimum(p-1, xarg'high))); b := b + t; p := p + t; end loop eval_result_i; result(i) := sum; end loop; -- i return conv_integer(result); end; function to_stdl (constant v : in boolean) return std_logic is begin if v then return '1'; else return '0'; end if; end; function lowest_fired (arg : std_logic_vector) return std_logic_vector is variable result : std_logic_vector(arg'range); function no_competitors(i : integer) return std_logic is begin if i = arg'low then return '1'; end if; if arg'ascending then return nor_reduce(arg(arg'low to i-1)); else return nor_reduce(arg(i-1 downto arg'low)); end if; end; begin for i in arg'range loop result(i) := arg(i) and no_competitors(i); end loop; return result; end; function invert(arg : std_logic_vector) return std_logic_vector is variable result : std_logic_vector(arg'range); begin for i in arg'range loop result(i) := arg(arg'left + arg'right - i); end loop; return result; end; function singleton(arg : std_logic) return std_logic_vector is begin return (0 => arg); end; function align8_down(v : std_logic_vector) return std_logic_vector is begin return v(v'high downto v'low + 3) & "000"; end; function align2_down(v : std_logic_vector) return std_logic_vector is begin return v(v'high downto v'low + 1) & "0"; end; function align8_up(v : std_logic_vector) return std_logic_vector is constant v1 : std_logic_vector(v'range) := v + "111"; begin return v1(v'high downto v'low + 3) & "000"; end; -- treat segment descriptor function desc2mask(x : std_logic_vector) return std_logic_vector is begin return (x-1) xor x; end; function desc2base(x : std_logic_vector) return std_logic_vector is begin return (x-1) and x; end; function desc2mask(x : std_logic_vector; hint_logsize : natural; exact : boolean := false) return std_logic_vector is subtype hi_range is integer range x'high downto x'low + hint_logsize; subtype lo_range is integer range x'low + hint_logsize - 1 downto x'low; variable result : std_logic_vector(x'range); begin result(lo_range) := (others => '1'); if exact then result(hi_range) := (others => '0'); else result(hi_range) := desc2mask(x(hi_range)); end if; return result; end; function desc2base(x : std_logic_vector; hint_logsize : natural; exact : boolean := false) return std_logic_vector is constant tail : std_logic_vector(hint_logsize - 1 downto 0) := (others => '0'); begin if exact then return x(x'high downto x'low + hint_logsize) & tail; else return desc2base(x(x'high downto x'low + hint_logsize)) & tail; end if; end; function extend64(v : std_logic_vector) return qword is variable result : qword := (others => '0'); begin result(v'length - 1 downto 0) := v; return result; end; function extend64(i : integer) return qword is begin return conv_std_logic_vector(i, 64); end; function extend(size : natural; v : std_logic_vector) return std_logic_vector is variable result : std_logic_vector(size - 1 downto 0) := (others => '0'); begin result(v'length - 1 downto 0) := v; return result; end; function to_hstring (value : std_ulogic_vector) return string is constant ne : integer := (value'length+3)/4; variable pad : std_ulogic_vector(0 to (ne*4 - value'length) - 1); variable ivalue : std_ulogic_vector(0 to ne*4 - 1); variable result : string(1 to ne); variable quad : std_ulogic_vector(0 to 3); begin if value'length < 1 then return ""; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop quad := To_X01Z(ivalue(4*i to 4*i+3)); case quad is when x"0" => result(i+1) := '0'; when x"1" => result(i+1) := '1'; when x"2" => result(i+1) := '2'; when x"3" => result(i+1) := '3'; when x"4" => result(i+1) := '4'; when x"5" => result(i+1) := '5'; when x"6" => result(i+1) := '6'; when x"7" => result(i+1) := '7'; when x"8" => result(i+1) := '8'; when x"9" => result(i+1) := '9'; when x"A" => result(i+1) := 'A'; when x"B" => result(i+1) := 'B'; when x"C" => result(i+1) := 'C'; when x"D" => result(i+1) := 'D'; when x"E" => result(i+1) := 'E'; when x"F" => result(i+1) := 'F'; when "ZZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return result; end if; end function to_hstring; function to_hstring (value : std_logic_vector) return string is begin return to_hstring (to_stdulogicvector (value)); end function to_hstring; function reverse(x : std_logic_vector) return std_logic_vector is variable result : std_logic_vector(x'range); begin for i in x'range loop result(i) := x(x'high + x'low - i); end loop; return result; end; end util;
bsd-3-clause
alvieboy/xtc-base
nodev.vhd
1
739
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.wishbonepkg.all; entity nodev is port ( syscon: in wb_syscon_type; wbi: in wb_mosi_type; wbo: out wb_miso_type ); end entity nodev; architecture behave of nodev is signal ack,err: std_logic := '0'; begin wbo.ack<=ack; wbo.err<=err; wbo.dat<=(others => 'X'); process(syscon.clk) begin if rising_edge(syscon.clk) then if syscon.rst='1' then ack<='0'; err<='0'; else ack<='0'; err<='0'; if err='0' and wbi.stb='1' and wbi.cyc='1' then --ack<='1'; err<='1'; end if; end if; end if; end process; end behave;
bsd-3-clause
alvieboy/xtc-base
tx_unit_sim.vhd
1
5222
------------------------------------------------------------------------------ ---- ---- ---- RS-232 simple Tx module ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- Implements a simple 8N1 tx module for RS-232. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Philippe Carton, philippe.carton2 libertysurf.fr ---- ---- - Juan Pablo Daniel Borgna, jpdborgna gmail.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2001-2003 Philippe Carton ---- ---- Copyright (c) 2005 Juan Pablo Daniel Borgna ---- ---- Copyright (c) 2005-2008 Salvador E. Tropea ---- ---- Copyright (c) 2005-2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the GPL license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: TxUnit(Behaviour) (Entity and architecture) ---- ---- File name: Txunit.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- zpu.UART ---- ---- Target FPGA: Spartan ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library work; use work.pty.all; entity TxUnit is port ( clk_i : in std_logic; -- Clock signal reset_i : in std_logic; -- Reset input enable_i : in std_logic; -- Enable input load_i : in std_logic; -- Load input txd_o : out std_logic; -- RS-232 data output busy_o : out std_logic; -- Tx Busy intx_o : out std_logic; -- In transmit datai_i : in std_logic_vector(7 downto 0)); -- Byte to transmit end entity TxUnit; architecture Behaviour of TxUnit is signal tbuff_r : std_logic_vector(7 downto 0); -- transmit buffer signal t_r : std_logic_vector(7 downto 0); -- transmit register signal loaded_r : std_logic:='0'; -- Buffer loaded signal txd_r : std_logic:='1'; -- Tx buffer ready signal lixo: integer; begin intx_o <= '0'; busy_o <= '0';--load_i or loaded_r; txd_o <= txd_r; -- Tx process TxProc: process (clk_i) variable bitpos : integer range 0 to 10; -- Bit position in the frame variable txint: integer; variable txresult: integer; begin if rising_edge(clk_i) then if reset_i='1' then loaded_r <= '0'; bitpos:=0; txd_r <= '1'; else -- reset_i='0' if load_i='1' then --report "kkkk"; txint := conv_integer( unsigned(datai_i) ); txresult := pty_transmit(txint); end if; -- enable_i='1' end if; -- reset_i='0' end if; -- rising_edge(clk_i) end process TxProc; process variable c: integer; begin c := pty_initialize; wait; end process; end architecture Behaviour;
bsd-3-clause
alvieboy/xtc-base
xtc_top_ppro_sdram.vhd
1
6923
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.wishbonepkg.all; use work.xtcpkg.all; entity xtc_top_ppro_sdram is port ( CLK: in std_logic; -- UART (FTDI) connection TXD: out std_logic; RXD: in std_logic; DRAM_ADDR : OUT STD_LOGIC_VECTOR (12 downto 0); DRAM_BA : OUT STD_LOGIC_VECTOR (1 downto 0); DRAM_CAS_N : OUT STD_LOGIC; DRAM_CKE : OUT STD_LOGIC; DRAM_CLK : OUT STD_LOGIC; DRAM_CS_N : OUT STD_LOGIC; DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 downto 0); DRAM_DQM : OUT STD_LOGIC_VECTOR(1 downto 0); DRAM_RAS_N : OUT STD_LOGIC; DRAM_WE_N : OUT STD_LOGIC; -- SPI flash MOSI: out std_logic; MISO: in std_logic; SCK: out std_logic; NCS: out std_logic; --NNMI: in std_logic; -- SD card SDMOSI: out std_logic; SDMISO: in std_logic; SDSCK: out std_logic; SDNCS: out std_logic; HSYNC: out std_logic; VSYNC: out std_logic; BLUE: out std_logic_vector(3 downto 0); GREEN: out std_logic_vector(3 downto 0); RED: out std_logic_vector(3 downto 0); JOY_FIRE2: in std_logic; JOY_FIRE1: in std_logic; JOY_LEFT: in std_logic; JOY_RIGHT: in std_logic; JOY_SEL: in std_logic; JOY_UP: in std_logic; JOY_DOWN: in std_logic; AUDIO: out std_logic_vector(1 downto 0); RESET: in std_logic -- The LED --LED: out std_logic ); end entity xtc_top_ppro_sdram; architecture behave of xtc_top_ppro_sdram is signal sysrst: std_logic; signal sysclk: std_logic; signal clkgen_rst: std_logic; signal wb_clk_i: std_logic; signal wb_rst_i: std_logic; signal clk_off_3ns: std_ulogic; signal wbi: wb_mosi_type; signal wbo: wb_miso_type; signal dmawbi: wb_mosi_type; signal dmawbo: wb_miso_type; signal syscon: wb_syscon_type; signal swbi: slot_wbi; signal swbo: slot_wbo; signal sids: slot_ids; signal nmi, nmi_q, nmiack, rstreq,rstreq_q, do_reset: std_logic; signal vgaclk: std_logic; begin AUDIO(0) <= '0'; AUDIO(1) <= '0'; process(sysclk) begin if rising_edge(sysclk) then if sysrst='1' then rstreq_q<='0'; else rstreq_q<=rstreq; end if; end if; end process; do_reset<='1' when rstreq_q='0' and rstreq='1' else '0'; syscon.clk<=sysclk; syscon.rst<=sysrst or do_reset; cpu: entity work.xtc_top_sdram port map ( wb_syscon => syscon, iowbi => wbo, iowbo => wbi, nmi => nmi, nmiack => nmiack, rstreq => rstreq, dmawbi => dmawbi, dmawbo => dmawbo, -- extra clocking clk_off_3ns => clk_off_3ns, -- SDRAM signals DRAM_ADDR => DRAM_ADDR(11 downto 0), DRAM_BA => DRAM_BA, DRAM_CAS_N => DRAM_CAS_N, DRAM_CKE => DRAM_CKE, DRAM_CLK => DRAM_CLK, DRAM_CS_N => DRAM_CS_N, DRAM_DQ => DRAM_DQ, DRAM_DQM => DRAM_DQM, DRAM_RAS_N => DRAM_RAS_N, DRAM_WE_N => DRAM_WE_N ); --DRAM_ADDR(12)<='0'; ioctrl: entity work.xtc_ioctrl port map ( syscon => syscon, wbi => wbi, wbo => wbo, swbi => swbi, swbo => swbo, sids => sids ); myrom: entity work.nodev port map ( syscon => syscon, wbi => swbo(0), wbo => swbi(0) ); myuart: entity work.uart generic map ( bits => 11 ) port map ( syscon => syscon, wbi => swbo(1), wbo => swbi(1), tx => TXD, rx => RXD ); flashspi: entity work.spi generic map ( INTERNAL_SPI => true ) port map ( syscon => syscon, wbi => swbo(2), wbo => swbi(2), mosi => MOSI, miso => MISO, sck => SCK, cs => NCS ); sdspi: entity work.spi generic map ( INTERNAL_SPI => false ) port map ( syscon => syscon, wbi => swbo(3), wbo => swbi(3), mosi => SDMOSI, miso => SDMISO, sck => SDSCK, cs => SDNCS ); vgaenabled: if false generate vga: entity work.vga_320_240_idx port map ( wb_clk_i => syscon.clk, wb_rst_i => syscon.rst, wb_dat_o => swbi(4).dat, wb_dat_i => swbo(4).dat, wb_adr_i => swbo(4).adr(31 downto 2), wb_we_i => swbo(4).we, wb_cyc_i => swbo(4).cyc, wb_stb_i => swbo(4).stb, wb_ack_o => swbi(4).ack, -- Wishbone MASTER interface mi_wb_dat_i => dmawbo.dat, mi_wb_dat_o => dmawbi.dat, mi_wb_adr_o => dmawbi.adr, mi_wb_sel_o => dmawbi.sel, --mi_wb_cti_o => dmawbi.cti, mi_wb_we_o => dmawbi.we, mi_wb_cyc_o => dmawbi.cyc, mi_wb_stb_o => dmawbi.stb, mi_wb_ack_i => dmawbo.ack, mi_wb_stall_i => dmawbo.stall, -- VGA signals vgaclk => vgaclk, vga_hsync => HSYNC, vga_vsync => VSYNC, vga_b(0) => open, vga_b(4 downto 1) => BLUE, vga_r(0) => open, vga_r(4 downto 1) => RED, vga_g(0) => open, vga_g(4 downto 1) => GREEN, blank => open ); end generate; vgadisabled: if true generate eslot: entity work.sinkdev port map ( syscon => syscon, wbi => swbo(4), wbo => swbi(4) ); dmawbi.dat <= (others => 'X'); dmawbi.adr <= (others => 'X'); dmawbi.sel <= (others => 'X'); dmawbi.we <='0'; dmawbi.cyc<='0'; dmawbi.stb<='0'; RED<=(others => '0'); GREEN<=(others => '0'); BLUE<=(others => '0'); HSYNC<='0'; VSYNC<='0'; end generate; emptyslots: for N in 5 to 15 generate eslot: entity work.nodev port map ( syscon => syscon, wbi => swbo(N), wbo => swbi(N) ); --swbi(N) <= wb_miso_default; end generate; wb_clk_i <= sysclk; wb_rst_i <= sysrst; rstgen: entity work.xtc_serialreset generic map ( SYSTEM_CLOCK_MHZ => 96 ) port map ( clk => sysclk, rx => RXD, rstin => clkgen_rst, rstout => sysrst ); --sysrst <= clkgen_rst; clkgen_inst: entity work.clkgen port map ( clkin => clk, rstin => '0' , clkout => sysclk, clkout1 => clk_off_3ns, vgaclk => vgaclk, rstout => clkgen_rst ); -- NMI process (sysclk) begin if rising_edge(sysclk) then if sysrst='1' then nmi <= '0'; else if RESET='1' then nmi<='1'; elsif nmiack='1' then nmi<='0'; end if; end if; end if; end process; end behave;
bsd-3-clause
alvieboy/xtc-base
wishbonepkg.vhd
1
1479
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package wishbonepkg is constant CTI_CYCLE_CLASSIC: std_logic_vector(2 downto 0) := "000"; constant CTI_CYCLE_CONSTADDR: std_logic_vector(2 downto 0) := "001"; constant CTI_CYCLE_INCRADDR: std_logic_vector(2 downto 0) := "010"; constant CTI_CYCLE_ENDOFBURST: std_logic_vector(2 downto 0) := "111"; constant BTE_BURST_LINEAR: std_logic_vector(1 downto 0) := "00"; constant BTE_BURST_4BEATWRAP: std_logic_vector(1 downto 0) := "01"; constant BTE_BURST_8BEATWRAP: std_logic_vector(1 downto 0) := "10"; constant BTE_BURST_16BEATWRAP: std_logic_vector(1 downto 0) := "11"; type wb_miso_type is record ack: std_logic; dat: std_logic_vector(31 downto 0); tag: std_logic_vector(31 downto 0); int: std_logic; err: std_logic; rty: std_logic; stall: std_logic; end record; type wb_mosi_type is record dat: std_logic_vector(31 downto 0); adr: std_logic_vector(31 downto 0); tag: std_logic_vector(31 downto 0); cyc: std_logic; stb: std_logic; sel: std_logic_vector(3 downto 0); cti: std_logic_vector(2 downto 0); bte: std_logic_vector(1 downto 0); we: std_logic; -- Not in wishbone standard --bse: std_logic_vector(5 downto 0); -- Burst size extension end record; type wb_syscon_type is record clk: std_logic; rst: std_logic; end record; end wishbonepkg;
bsd-3-clause
SteffenReith/J1Sc
vprj/vhdl/J1Sc/J1Sc/J1Sc.srcs/sources_1/imports/J1Sc/src/main/vhdl/arch/Nexys4DDR/Board_Nexys4DDR.vhd
2
3813
-------------------------------------------------------------------------------- -- -- Creation Date: Fri Apr 7 16:00:52 GMT+2 2017 -- Creator: Steffen Reith -- Module Name: Board_Nexys4DDR - Behavioral -- Project Name: J1Sc - A simple J1 implementation in Scala using Spinal HDL -- -- Remark: The pmod pins are renumberd as follows 1 -> 0, 2 -> 1, 3 -> 2, -- 4 -> 3, 7 -> 4, 8 -> 5, 9 -> 6, 10 -> 7 -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity Board_Nexys4DDR is port (nreset : in std_logic; clk100Mhz : in std_logic; extInt : in std_logic_vector(0 downto 0); leds : out std_logic_vector(15 downto 0); rgbLeds : out std_logic_vector(5 downto 0); segments_a : out std_logic; segments_b : out std_logic; segments_c : out std_logic; segments_d : out std_logic; segments_e : out std_logic; segments_f : out std_logic; segments_g : out std_logic; dot : out std_logic; selector : out std_logic_vector(7 downto 0); pmodA : inout std_logic_vector(7 downto 0); sSwitches : in std_logic_vector(15 downto 0); pButtons : in std_logic_vector(4 downto 0); tck : in std_logic; tms : in std_logic; tdi : in std_logic; tdo : out std_logic; rx : in std_logic; tx : out std_logic); end Board_Nexys4DDR; architecture Structural of Board_Nexys4DDR is -- Positive reset signal signal reset : std_logic; -- Signals related to the board clk signal boardClk : std_logic; signal boardClkLocked : std_logic; -- Interface for PModA signal pmodA_read : std_logic_vector(7 downto 0); signal pmodA_write : std_logic_vector(7 downto 0); signal pmodA_writeEnable : std_logic_vector(7 downto 0); begin -- Instantiate a PLL/MMCM (makes a 80Mhz clock) makeClk : entity work.PLL(Structural) port map (clkIn => clk100Mhz, clkOut => boardClk, isLocked => boardClkLocked); -- Make the reset positive reset <= not nreset; -- Instantiate the J1SoC core created by Spinal core : entity work.J1Nexys4X port map (reset => reset, boardClk => boardClk, boardClkLocked => boardClkLocked, extInt => extInt, leds => leds, rgbLeds => rgbLeds, segments_a => segments_a, segments_b => segments_b, segments_c => segments_c, segments_d => segments_d, segments_e => segments_e, segments_f => segments_f, segments_g => segments_g, dot => dot, selector => selector, pmodA_read => pmodA_read, pmodA_write => pmodA_write, pmodA_writeEnable => pmodA_writeEnable, sSwitches => sSwitches, pButtons => pButtons, tck => tck, tms => tms, tdi => tdi, tdo => tdo, rx => rx, tx => tx); -- Connect the pmodA read port pmodA_read <= pmodA; -- generate the write port and equip it with tristate functionality pmodAGen : for i in pmodA'range generate pmodA(i) <= pmodA_write(i) when pmodA_writeEnable(i) = '1' else 'Z'; end generate; end architecture;
bsd-3-clause
SteffenReith/J1Sc
src/main/vhdl/arch/Nexys4/PLL.vhd
1
6106
-------------------------------------------------------------------------------- -- Author: Steffen Reith ([email protected]) -- -- Creation Date: Tue Jan 17 19:29:25 GMT+1 2017 -- Creator: Steffen Reith -- Module Name: PLL - Structural -- Project Name: J1Sc - A simple J1 implementation in Scala using Spinal HDL -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity PLL is port (clkIn : in std_logic; clkOut : out std_logic; isLocked : out std_logic); end PLL; architecture Structural of PLL is -- Control signals signal locked : std_logic; -- The MMCM has achieved phase alignment signal psDone_unused : std_logic; -- Dummy signal for phase shift done signal clkinstopped_unused : std_logic; -- Input clock has stopped (not used) signal clkfbstopped_unused : std_logic; -- Feedback clock has stopped (not used) signal drdy_unused : std_logic; -- Reconfiguration ready signal signal do_unused : std_logic_vector(15 downto 0); -- Reconfiguration data out -- Internal clock signals signal clkInI : std_logic; -- Internal buffered input clock signal clkI1 : std_logic; -- Internal output clock 1 signal clkOutI1 : std_logic; -- Internal already buffered output clock 1 signal clkDI_unused : std_logic; -- Internal delayed output clock -- Feedback clock signals signal clkfbI : std_logic; -- Internal unbuffered feedback clock signal clkfbIBuf : std_logic; -- Internal buffered feedback clock -- Unused clock ports signal clkfbb_unused : std_logic; signal clk0b_unused : std_logic; signal clk1b_unused : std_logic; signal clk2_unused : std_logic; signal clk2b_unused : std_logic; signal clk3_unused : std_logic; signal clk3b_unused : std_logic; signal clk4_unused : std_logic; signal clk5_unused : std_logic; signal clk6_unused : std_logic; begin -- Instantiate a input clock buffer clkInBuffer : IBUFG port map (O => clkInI, I => clkIn); -- Instantiate a clock buffer for the internal feedback signal feedbackBuffer : BUFG port map (O => clkfbIBuf, I => clkfbI); -- Instantiate a clock manager clkgen : MMCME2_ADV generic map ( BANDWIDTH => "OPTIMIZED", -- MMCM programming affecting jitter CLKOUT4_CASCADE => false, -- don't divide output more than 128 COMPENSATION => "ZHOLD", -- Clk input compensation for feedback STARTUP_WAIT => false, -- not supported yet (set to default) DIVCLK_DIVIDE => 1, -- Division ratio for output clocks CLKFBOUT_MULT_F => 10.000, -- set feedback base CLKFBOUT_PHASE => 0.000, -- phase of feedback output CLKFBOUT_USE_FINE_PS => false, -- Don't enable fine shift --CLKOUT0_DIVIDE_F => 12.500, -- Scale clock to 80Mhz CLKOUT0_DIVIDE_F => 10.000, -- Scale to 100Mhz CLKOUT0_PHASE => 0.000, -- Phase of clock 0 (no shift) CLKOUT0_DUTY_CYCLE => 0.500, -- Duty cycle of clock 0 CLKOUT0_USE_FINE_PS => false, -- No fine shift for clock 0 CLKOUT1_DIVIDE => 10, -- Scale clock 1 to 1.0 CLKOUT1_PHASE => 270.000, -- Phase of clock 1 (delayed) CLKOUT1_DUTY_CYCLE => 0.500, -- Duty cycle of clock 1 CLKOUT1_USE_FINE_PS => false, -- No fine shift for clock 1 CLKIN1_PERIOD => 10.000, -- 10ns input clock period -> 100Mhz REF_JITTER1 => 0.010) -- Set expected jitter to default port map ( CLKFBOUT => clkfbI, CLKFBOUTB => clkfbb_unused, -- Unused inverted feedback -- Output clocks (delayed and non inverted) CLKOUT0 => clkI1, CLKOUT0B => clk0b_unused, CLKOUT1 => clkDI_unused, CLKOUT1B => clk1b_unused, -- Unused clocks CLKOUT2 => clk2_unused, CLKOUT2B => clk2b_unused, CLKOUT3 => clk3_unused, CLKOUT3B => clk3b_unused, CLKOUT4 => clk4_unused, CLKOUT5 => clk5_unused, CLKOUT6 => clk6_unused, -- Input clock control CLKFBIN => clkfbIBuf, -- Buffered feedback signal CLKIN1 => clkInI, -- Input clock CLKIN2 => '0', -- Second input clock is not used CLKINSEL => '1', -- Select primary input clock -- Disable dynamic reconfiguration DADDR => (others => '0'), -- set all address bits to 0 DCLK => '0', -- No clock for the reconfig port DEN => '0', -- Disable to reconfiguration port DI => (others => '0'), -- set reconfiguration data to 0 DO => do_unused, -- Ignore MMCM reconfig data output DRDY => drdy_unused, -- Ignore the ready signal DWE => '0', -- Disable the write enable -- Don't implement dynamic phase shift PSCLK => '0', -- No phase shift clock PSEN => '0', -- Disable phase shift PSINCDEC => '0', -- No inc / dec of phase shift PSDONE => psDone_unused, -- Dummy signal for phase shift done -- Other control and status signals LOCKED => locked, -- MMCE clock is stable CLKINSTOPPED => clkinstopped_unused, -- Input clock has stopped (not used) CLKFBSTOPPED => clkfbstopped_unused, -- Feedback clock has stopped (not used) PWRDWN => '0', -- Don't power down MMCE RST => '0'); -- No reset after startup -- Scaled clock clk1Buf : BUFGCE port map (O => clkOutI1, CE => locked, I => clkI1); clkOut <= clkOutI1; -- Provide the locked signal to the outside world isLocked <= locked; end architecture;
bsd-3-clause
INTI-CMNB-FPGA/fpga_lib
vhdl/numeric/testbench/numeric_tb.vhdl
1
5137
-- -- Numeric testbench -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (c) 2016-2017 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; library FPGALIB; use FPGALIB.Numeric.all; use FPGALIB.Simul.all; entity Numeric_tb is end entity Numeric_tb; architecture Testbench of Numeric_tb is begin test: process begin print("* Testing minimum and maximum"); assert minimum(2,9)=2 report "minimum fail" severity failure; assert maximum(2,9)=9 report "maximum fail" severity failure; print("* Testing clog2"); assert clog2(1)=0 report "clog2(1) fail" severity failure; assert clog2(2)=1 report "clog2(2) fail" severity failure; assert clog2(3)=2 report "clog2(3) fail" severity failure; assert clog2(4)=2 report "clog2(4) fail" severity failure; assert clog2(5)=3 report "clog2(5) fail" severity failure; assert clog2(6)=3 report "clog2(6) fail" severity failure; assert clog2(7)=3 report "clog2(7) fail" severity failure; assert clog2(8)=3 report "clog2(8) fail" severity failure; assert clog2(9)=4 report "clog2(9) fail" severity failure; assert clog2(1e3)=10 report "clog2(1e3) fail" severity failure; assert clog2(1e4)=14 report "clog2(1e4) fail" severity failure; assert clog2(1e5)=17 report "clog2(1e5) fail" severity failure; assert clog2(1e9)=30 report "clog2(1e9) fail" severity failure; print("* Testing convesions"); assert to_integer("00001001")=9 report "to_integer of 00001001 must be 9" severity failure; assert to_integer('1')=1 report "to_integer of 1 must be 1" severity failure; assert to_natural("00001001")=9 report "to_natural of 00001001 must be 9" severity failure; assert to_logic(1)='1' report "to_logic of 1 must be 1" severity failure; assert to_vector(9,8)="00001001" report "to_vector of 9 must be 00001001" severity failure; print("* Testing Binary to Gray convesion"); assert bin2gray("0000")="0000" report "bin 0000 must be gray 0000" severity failure; assert bin2gray("0001")="0001" report "bin 0001 must be gray 0001" severity failure; assert bin2gray("0010")="0011" report "bin 0010 must be gray 0011" severity failure; assert bin2gray("0011")="0010" report "bin 0011 must be gray 0010" severity failure; assert bin2gray("0100")="0110" report "bin 0100 must be gray 0110" severity failure; assert bin2gray("0101")="0111" report "bin 0101 must be gray 0111" severity failure; assert bin2gray("0110")="0101" report "bin 0110 must be gray 0101" severity failure; assert bin2gray("0111")="0100" report "bin 0111 must be gray 0100" severity failure; assert bin2gray("1000")="1100" report "bin 1000 must be gray 1100" severity failure; assert bin2gray("1001")="1101" report "bin 1001 must be gray 1101" severity failure; assert bin2gray("1010")="1111" report "bin 1010 must be gray 1111" severity failure; assert bin2gray("1011")="1110" report "bin 1011 must be gray 1110" severity failure; assert bin2gray("1100")="1010" report "bin 1100 must be gray 1100" severity failure; assert bin2gray("1101")="1011" report "bin 1101 must be gray 1101" severity failure; assert bin2gray("1110")="1001" report "bin 1110 must be gray 1110" severity failure; assert bin2gray("1111")="1000" report "bin 1111 must be gray 1000" severity failure; print("* Testing Gray to Binary convesion"); assert gray2bin("0000")="0000" report "bin 0000 must be gray 0000" severity failure; assert gray2bin("0001")="0001" report "bin 0001 must be gray 0001" severity failure; assert gray2bin("0011")="0010" report "bin 0011 must be gray 0010" severity failure; assert gray2bin("0010")="0011" report "bin 0010 must be gray 0011" severity failure; assert gray2bin("0110")="0100" report "bin 0110 must be gray 0100" severity failure; assert gray2bin("0111")="0101" report "bin 0111 must be gray 0101" severity failure; assert gray2bin("0101")="0110" report "bin 0101 must be gray 0110" severity failure; assert gray2bin("0100")="0111" report "bin 0100 must be gray 0111" severity failure; assert gray2bin("1100")="1000" report "bin 1100 must be gray 0000" severity failure; assert gray2bin("1101")="1001" report "bin 1101 must be gray 0001" severity failure; assert gray2bin("1111")="1010" report "bin 1111 must be gray 0010" severity failure; assert gray2bin("1110")="1011" report "bin 1110 must be gray 0011" severity failure; assert gray2bin("1010")="1100" report "bin 1010 must be gray 0100" severity failure; assert gray2bin("1011")="1101" report "bin 1011 must be gray 0101" severity failure; assert gray2bin("1001")="1110" report "bin 1001 must be gray 0110" severity failure; assert gray2bin("1000")="1111" report "bin 1000 must be gray 0111" severity failure; wait; end process test; end architecture Testbench;
bsd-3-clause
richard42/CoCo3FPGA
T65.vhd
1
15927
-- **** -- T65(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 301 more merging -- Ver 300 Bugfixes by ehenciak added, started tidyup *bust* -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- 65xx compatible microprocessor core -- -- Version : 0246 -- -- Copyright (c) 2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t65/ -- -- Limitations : -- -- 65C02 and 65C816 modes are incomplete -- Undocumented instructions are not supported -- Some interface signals behaves incorrect -- -- File history : -- -- 0246 : First release -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T65_Pack.all; -- ehenciak 2-23-2005 : Added the enable signal so that one doesn't have to use -- the ready signal to limit the CPU. entity T65 is port( Mode : in std_logic_vector(1 downto 0); -- "00" => 6502, "01" => 65C02, "10" => 65C816 Res_n : in std_logic; Enable : in std_logic; Clk : in std_logic; Rdy : in std_logic; Abort_n : in std_logic; IRQ_n : in std_logic; NMI_n : in std_logic; SO_n : in std_logic; R_W_n : out std_logic; Sync : out std_logic; EF : out std_logic; MF : out std_logic; XF : out std_logic; ML_n : out std_logic; VP_n : out std_logic; VDA : out std_logic; VPA : out std_logic; A : out std_logic_vector(23 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0) ); end T65; architecture rtl of T65 is -- Registers signal ABC, X, Y, D : std_logic_vector(15 downto 0); signal P, AD, DL : std_logic_vector(7 downto 0) := x"00"; signal BAH : std_logic_vector(7 downto 0); signal BAL : std_logic_vector(8 downto 0); signal PBR : std_logic_vector(7 downto 0); signal DBR : std_logic_vector(7 downto 0); signal PC : unsigned(15 downto 0); signal S : unsigned(15 downto 0); signal EF_i : std_logic; signal MF_i : std_logic; signal XF_i : std_logic; signal IR : std_logic_vector(7 downto 0); signal MCycle : std_logic_vector(2 downto 0); signal Mode_r : std_logic_vector(1 downto 0); signal ALU_Op_r : std_logic_vector(3 downto 0); signal Write_Data_r : std_logic_vector(2 downto 0); signal Set_Addr_To_r : std_logic_vector(1 downto 0); signal PCAdder : unsigned(8 downto 0); signal RstCycle : std_logic; signal IRQCycle : std_logic; signal NMICycle : std_logic; signal B_o : std_logic; signal SO_n_o : std_logic; signal IRQ_n_o : std_logic; signal NMI_n_o : std_logic; signal NMIAct : std_logic; signal Break : std_logic; -- ALU signals signal BusA : std_logic_vector(7 downto 0); signal BusA_r : std_logic_vector(7 downto 0); signal BusB : std_logic_vector(7 downto 0); signal ALU_Q : std_logic_vector(7 downto 0); signal P_Out : std_logic_vector(7 downto 0); -- Micro code outputs signal LCycle : std_logic_vector(2 downto 0); signal ALU_Op : std_logic_vector(3 downto 0); signal Set_BusA_To : std_logic_vector(2 downto 0); signal Set_Addr_To : std_logic_vector(1 downto 0); signal Write_Data : std_logic_vector(2 downto 0); signal Jump : std_logic_vector(1 downto 0); signal BAAdd : std_logic_vector(1 downto 0); signal BreakAtNA : std_logic; signal ADAdd : std_logic; signal AddY : std_logic; signal PCAdd : std_logic; signal Inc_S : std_logic; signal Dec_S : std_logic; signal LDA : std_logic; signal LDP : std_logic; signal LDX : std_logic; signal LDY : std_logic; signal LDS : std_logic; signal LDDI : std_logic; signal LDALU : std_logic; signal LDAD : std_logic; signal LDBAL : std_logic; signal LDBAH : std_logic; signal SaveP : std_logic; signal Write : std_logic; signal really_rdy : std_logic; signal R_W_n_i : std_logic; begin -- ehenciak : gate Rdy with read/write to make an "OK, it's -- really OK to stop the processor now if Rdy is -- deasserted" signal really_rdy <= Rdy or not(R_W_n_i); -- ehenciak : Drive R_W_n_i off chip. R_W_n <= R_W_n_i; Sync <= '1' when MCycle = "000" else '0'; EF <= EF_i; MF <= MF_i; XF <= XF_i; ML_n <= '0' when IR(7 downto 6) /= "10" and IR(2 downto 1) = "11" and MCycle(2 downto 1) /= "00" else '1'; VP_n <= '0' when IRQCycle = '1' and (MCycle = "101" or MCycle = "110") else '1'; VDA <= '1' when Set_Addr_To_r /= "000" else '0'; -- Incorrect !!!!!!!!!!!! VPA <= '1' when Jump(1) = '0' else '0'; -- Incorrect !!!!!!!!!!!! mcode : T65_MCode port map( Mode => Mode_r, IR => IR, MCycle => MCycle, P => P, LCycle => LCycle, ALU_Op => ALU_Op, Set_BusA_To => Set_BusA_To, Set_Addr_To => Set_Addr_To, Write_Data => Write_Data, Jump => Jump, BAAdd => BAAdd, BreakAtNA => BreakAtNA, ADAdd => ADAdd, AddY => AddY, PCAdd => PCAdd, Inc_S => Inc_S, Dec_S => Dec_S, LDA => LDA, LDP => LDP, LDX => LDX, LDY => LDY, LDS => LDS, LDDI => LDDI, LDALU => LDALU, LDAD => LDAD, LDBAL => LDBAL, LDBAH => LDBAH, SaveP => SaveP, Write => Write ); alu : T65_ALU port map( Mode => Mode_r, Op => ALU_Op_r, BusA => BusA_r, BusB => BusB, P_In => P, P_Out => P_Out, Q => ALU_Q ); process (Res_n, Clk) begin if Res_n = '0' then PC <= (others => '0'); -- Program Counter IR <= "00000000"; S <= (others => '0'); -- Dummy !!!!!!!!!!!!!!!!!!!!! D <= (others => '0'); PBR <= (others => '0'); DBR <= (others => '0'); Mode_r <= (others => '0'); ALU_Op_r <= "1100"; Write_Data_r <= "000"; Set_Addr_To_r <= "00"; R_W_n_i <= '1'; EF_i <= '1'; MF_i <= '1'; XF_i <= '1'; elsif Clk'event and Clk = '1' then if (Enable = '1') then if (really_rdy = '1') then R_W_n_i <= not Write or RstCycle; D <= (others => '1'); -- Dummy PBR <= (others => '1'); -- Dummy DBR <= (others => '1'); -- Dummy EF_i <= '0'; -- Dummy MF_i <= '0'; -- Dummy XF_i <= '0'; -- Dummy if MCycle = "000" then Mode_r <= Mode; if IRQCycle = '0' and NMICycle = '0' then PC <= PC + 1; end if; if IRQCycle = '1' or NMICycle = '1' then IR <= "00000000"; else IR <= DI; end if; end if; ALU_Op_r <= ALU_Op; Write_Data_r <= Write_Data; if Break = '1' then Set_Addr_To_r <= "00"; else Set_Addr_To_r <= Set_Addr_To; end if; if Inc_S = '1' then S <= S + 1; end if; if Dec_S = '1' and RstCycle = '0' then S <= S - 1; end if; if LDS = '1' then S(7 downto 0) <= unsigned(ALU_Q); end if; if IR = "00000000" and MCycle = "001" and IRQCycle = '0' and NMICycle = '0' then PC <= PC + 1; end if; -- -- jump control logic -- case Jump is when "01" => PC <= PC + 1; when "10" => PC <= unsigned(DI & DL); when "11" => if PCAdder(8) = '1' then if DL(7) = '0' then PC(15 downto 8) <= PC(15 downto 8) + 1; else PC(15 downto 8) <= PC(15 downto 8) - 1; end if; end if; PC(7 downto 0) <= PCAdder(7 downto 0); when others => null; end case; end if; end if; end if; end process; PCAdder <= resize(PC(7 downto 0),9) + resize(unsigned(DL(7) & DL),9) when PCAdd = '1' else "0" & PC(7 downto 0); process (Clk) begin if Clk'event and Clk = '1' then if (Enable = '1') then if (really_rdy = '1') then if MCycle = "000" then if LDA = '1' then ABC(7 downto 0) <= ALU_Q; end if; if LDX = '1' then X(7 downto 0) <= ALU_Q; end if; if LDY = '1' then Y(7 downto 0) <= ALU_Q; end if; if (LDA or LDX or LDY) = '1' then P <= P_Out; end if; end if; if SaveP = '1' then P <= P_Out; end if; if LDP = '1' then P <= ALU_Q; end if; if IR(4 downto 0) = "11000" then case IR(7 downto 5) is when "000" => P(Flag_C) <= '0'; when "001" => P(Flag_C) <= '1'; when "010" => P(Flag_I) <= '0'; when "011" => P(Flag_I) <= '1'; when "101" => P(Flag_V) <= '0'; when "110" => P(Flag_D) <= '0'; when "111" => P(Flag_D) <= '1'; when others => end case; end if; if IR = "00000000" and MCycle = "011" and RstCycle = '0' and NMICycle = '0' and IRQCycle = '0' then P(Flag_B) <= '1'; end if; if IR = "00000000" and MCycle = "100" and RstCycle = '0' and (NMICycle = '1' or IRQCycle = '1') then P(Flag_I) <= '1'; P(Flag_B) <= B_o; end if; if SO_n_o = '1' and SO_n = '0' then P(Flag_V) <= '1'; end if; if RstCycle = '1' and Mode_r /= "00" then P(Flag_1) <= '1'; P(Flag_D) <= '0'; P(Flag_I) <= '1'; end if; P(Flag_1) <= '1'; B_o <= P(Flag_B); SO_n_o <= SO_n; IRQ_n_o <= IRQ_n; NMI_n_o <= NMI_n; end if; end if; end if; end process; --------------------------------------------------------------------------- -- -- Buses -- --------------------------------------------------------------------------- process (Res_n, Clk) begin if Res_n = '0' then BusA_r <= (others => '0'); BusB <= (others => '0'); AD <= (others => '0'); BAL <= (others => '0'); BAH <= (others => '0'); DL <= (others => '0'); elsif Clk'event and Clk = '1' then if (Enable = '1') then if (Rdy = '1') then BusA_r <= BusA; BusB <= DI; case BAAdd is when "01" => -- BA Inc AD <= std_logic_vector(unsigned(AD) + 1); BAL <= std_logic_vector(unsigned(BAL) + 1); when "10" => -- BA Add BAL <= std_logic_vector(resize(unsigned(BAL(7 downto 0)),9) + resize(unsigned(BusA),9)); when "11" => -- BA Adj if BAL(8) = '1' then BAH <= std_logic_vector(unsigned(BAH) + 1); end if; when others => end case; -- ehenciak : modified to use Y register as well (bugfix) if ADAdd = '1' then if (AddY = '1') then AD <= std_logic_vector(unsigned(AD) + unsigned(Y(7 downto 0))); else AD <= std_logic_vector(unsigned(AD) + unsigned(X(7 downto 0))); end if; end if; if IR = "00000000" then BAL <= (others => '1'); BAH <= (others => '1'); if RstCycle = '1' then BAL(2 downto 0) <= "100"; elsif NMICycle = '1' then BAL(2 downto 0) <= "010"; else BAL(2 downto 0) <= "110"; end if; if Set_addr_To_r = "11" then BAL(0) <= '1'; end if; end if; if LDDI = '1' then DL <= DI; end if; if LDALU = '1' then DL <= ALU_Q; end if; if LDAD = '1' then AD <= DI; end if; if LDBAL = '1' then BAL(7 downto 0) <= DI; end if; if LDBAH = '1' then BAH <= DI; end if; end if; end if; end if; end process; Break <= (BreakAtNA and not BAL(8)) or (PCAdd and not PCAdder(8)); with Set_BusA_To select BusA <= DI when "000", ABC(7 downto 0) when "001", X(7 downto 0) when "010", Y(7 downto 0) when "011", std_logic_vector(S(7 downto 0)) when "100", P when "101", (others => '-') when others; with Set_Addr_To_r select A <= "0000000000000001" & std_logic_vector(S(7 downto 0)) when "01", DBR & "00000000" & AD when "10", "00000000" & BAH & BAL(7 downto 0) when "11", PBR & std_logic_vector(PC(15 downto 8)) & std_logic_vector(PCAdder(7 downto 0)) when others; with Write_Data_r select DO <= DL when "000", ABC(7 downto 0) when "001", X(7 downto 0) when "010", Y(7 downto 0) when "011", std_logic_vector(S(7 downto 0)) when "100", P when "101", std_logic_vector(PC(7 downto 0)) when "110", std_logic_vector(PC(15 downto 8)) when others; ------------------------------------------------------------------------- -- -- Main state machine -- ------------------------------------------------------------------------- process (Res_n, Clk) begin if Res_n = '0' then MCycle <= "001"; RstCycle <= '1'; IRQCycle <= '0'; NMICycle <= '0'; NMIAct <= '0'; elsif Clk'event and Clk = '1' then if (Enable = '1') then if (really_rdy = '1') then if MCycle = LCycle or Break = '1' then MCycle <= "000"; RstCycle <= '0'; IRQCycle <= '0'; NMICycle <= '0'; if NMIAct = '1' then NMICycle <= '1'; elsif IRQ_n_o = '0' and P(Flag_I) = '0' then IRQCycle <= '1'; end if; else MCycle <= std_logic_vector(unsigned(MCycle) + 1); end if; if NMICycle = '1' then NMIAct <= '0'; end if; if NMI_n_o = '1' and NMI_n = '0' then NMIAct <= '1'; end if; end if; end if; end if; end process; end;
bsd-3-clause
cathalmccabe/PYNQ
boards/ip/dvi2rgb_v1_7/src/TMDS_Clocking.vhd
14
11908
------------------------------------------------------------------------------- -- -- File: TMDS_Clocking.vhd -- Author: Elod Gyorgy -- Original Project: HDMI input on 7-series Xilinx FPGA -- Date: 10 October 2014 -- ------------------------------------------------------------------------------- -- (c) 2014 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Purpose: -- This module instantiates all the necessary primitives to obtain a fast -- serial clock from the TMDS Clock pins to be used for deserializing the TMDS -- Data channels. Connect this module directly to the top-level TMDS Clock pins -- and a 200/300 MHz reference clock. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.math_real.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. library UNISIM; use UNISIM.VComponents.all; entity TMDS_Clocking is Generic ( kClkRange : natural := 1); -- MULT_F = kClkRange*5 (choose >=120MHz=1, >=60MHz=2, >=40MHz=3, >=30MHz=4, >=25MHz=5 Port ( TMDS_Clk_p : in std_logic; TMDS_Clk_n : in std_logic; RefClk : in std_logic; -- 200MHz reference clock for IDELAY primitives; independent of DVI_Clk! aRst : in std_logic; --asynchronous reset; must be reset when RefClk is not within spec SerialClk : out std_logic; PixelClk : out std_logic; aLocked : out std_logic); end TMDS_Clocking; architecture Behavioral of TMDS_Clocking is constant kDlyRstDelay : natural := 32; signal aDlyLckd, rDlyRst, rBUFR_Rst, rLockLostRst : std_logic; signal rDlyRstCnt : natural range 0 to kDlyRstDelay - 1 := kDlyRstDelay - 1; signal clkfbout_hdmi_clk, CLK_IN_hdmi_clk, CLK_OUT_1x_hdmi_clk, CLK_OUT_5x_hdmi_clk : std_logic; signal clkout1b_unused, clkout2_unused, clkout2b_unused, clkout3_unused, clkout3b_unused, clkout4_unused, clkout5_unused, clkout6_unused, drdy_unused, psdone_unused, clkfbstopped_unused, clkinstopped_unused, clkfboutb_unused, clkout0b_unused, clkout1_unused : std_logic; signal do_unused : std_logic_vector(15 downto 0); signal LOCKED_int, rRdyRst : std_logic; signal aMMCM_Locked, rMMCM_Locked_ms, rMMCM_Locked, rMMCM_LckdFallingFlag, rMMCM_LckdRisingFlag : std_logic; signal rMMCM_Reset_q : std_logic_vector(1 downto 0); signal rMMCM_Locked_q : std_logic_vector(1 downto 0); begin -- We need a reset bridge to use the asynchronous aRst signal to reset our circuitry -- and decrease the chance of metastability. The signal rLockLostRst can be used as -- asynchronous reset for any flip-flop in the RefClk domain, since it will be de-asserted -- synchronously. LockLostReset: entity work.ResetBridge generic map ( kPolarity => '1') port map ( aRst => aRst, OutClk => RefClk, oRst => rLockLostRst); --IDELAYCTRL must be reset after configuration or refclk lost for 52ns(K7), 72ns(A7) at least ResetIDELAYCTRL: process(rLockLostRst, RefClk) begin if Rising_Edge(RefClk) then if (rLockLostRst = '1') then rDlyRstCnt <= kDlyRstDelay - 1; rDlyRst <= '1'; elsif (rDlyRstCnt /= 0) then rDlyRstCnt <= rDlyRstCnt - 1; else rDlyRst <= '0'; end if; end if; end process; IDelayCtrlX: IDELAYCTRL port map ( RDY => aDlyLckd, REFCLK => RefClk, RST => rDlyRst); RdyLostReset: entity work.ResetBridge generic map ( kPolarity => '1') port map ( aRst => not aDlyLckd, OutClk => RefClk, oRst => rRdyRst); InputBuffer: IBUFDS generic map ( DIFF_TERM => FALSE, -- Differential Termination IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards IOSTANDARD => "TMDS_33") port map ( O => CLK_IN_hdmi_clk, I => TMDS_Clk_p, IB => TMDS_Clk_n); -- The TMDS Clk channel carries a character-rate frequency reference -- In a single Clk period a whole character (10 bits) is transmitted -- on each data channel. For deserialization of data channel a faster, -- serial clock needs to be generated. In 7-series architecture an -- ISERDESE2 primitive doing a 10:1 deserialization in DDR mode needs -- a fast 5x clock and a slow 1x clock. These two clocks are generated -- below with an MMCME2_ADV and BUFR primitive. -- Caveats: -- 1. The primitive uses a multiply-by-5 and divide-by-1 to generate -- a 5x fast clock. -- While changes in the frequency of the TMDS Clk are tracked by the -- MMCM, for some TMDS Clk frequencies the datasheet specs for the VCO -- frequency limits are not met. In other words, there is no single -- set of MMCM multiply and divide values that can work for the whole -- range of resolutions and pixel clock frequencies. -- For example: MMCM_FVCOMIN = 600 MHz -- MMCM_FVCOMAX = 1200 MHz for Artix-7 -1 speed grade -- while FVCO = FIN * MULT_F -- The TMDS Clk for 720p resolution in 74.25 MHz -- FVCO = 74.25 * 10 = 742.5 MHz, which is between FVCOMIN and FVCOMAX -- However, the TMDS Clk for 1080p resolution in 148.5 MHz -- FVCO = 148.5 * 10 = 1480 MHZ, which is above FVCOMAX -- In the latter case, MULT_F = 5, DIVIDE_F = 5, DIVIDE = 1 would result -- in a correct VCO frequency, while still generating 5x and 1x clocks -- 2. The MMCM+BUFIO+BUFR combination results in the highest possible -- frequencies. PLLE2_ADV could work only with BUFGs, which limits -- the maximum achievable frequency. The reason is that only the MMCM -- has dedicated route to BUFIO. -- If a PLLE2_ADV with BUFGs are used a second CLKOUTx can be used to -- generate the 1x clock. DVI_ClkGenerator: MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => real(kClkRange) * 5.0, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => real(kClkRange) * 1.0, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKIN1_PERIOD => real(kClkRange) * 6.0, REF_JITTER1 => 0.010) port map -- Output clocks ( CLKFBOUT => clkfbout_hdmi_clk, CLKFBOUTB => clkfboutb_unused, CLKOUT0 => CLK_OUT_5x_hdmi_clk, CLKOUT0B => clkout0b_unused, CLKOUT1 => clkout1_unused, CLKOUT1B => clkout1b_unused, CLKOUT2 => clkout2_unused, CLKOUT2B => clkout2b_unused, CLKOUT3 => clkout3_unused, CLKOUT3B => clkout3b_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, CLKOUT6 => clkout6_unused, -- Input clock control CLKFBIN => clkfbout_hdmi_clk, CLKIN1 => CLK_IN_hdmi_clk, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => do_unused, DRDY => drdy_unused, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => psdone_unused, -- Other control and status signals LOCKED => aMMCM_Locked, CLKINSTOPPED => clkinstopped_unused, CLKFBSTOPPED => clkfbstopped_unused, PWRDWN => '0', RST => rMMCM_Reset_q(0)); -- 5x fast serial clock SerialClkBuffer: BUFIO port map ( O => SerialClk, -- 1-bit output: Clock output (connect to I/O clock loads). I => CLK_OUT_5x_hdmi_clk -- 1-bit input: Clock input (connect to an IBUF or BUFMR). ); -- 1x slow parallel clock PixelClkBuffer: BUFR generic map ( BUFR_DIVIDE => "5", -- Values: "BYPASS, 1, 2, 3, 4, 5, 6, 7, 8" SIM_DEVICE => "7SERIES" -- Must be set to "7SERIES" ) port map ( O => PixelClk, -- 1-bit output: Clock output port CE => '1', -- 1-bit input: Active high, clock enable (Divided modes only) CLR => rBUFR_Rst, -- 1-bit input: Active high, asynchronous clear (Divided modes only) I => CLK_OUT_5x_hdmi_clk -- 1-bit input: Clock buffer input driven by an IBUF, MMCM or local interconnect ); rBUFR_Rst <= rMMCM_LckdRisingFlag; --pulse CLR on BUFR one the clock returns MMCM_Reset: process(rLockLostRst, RefClk) begin if (rLockLostRst = '1') then rMMCM_Reset_q <= (others => '1'); -- MMCM_RSTMINPULSE Minimum Reset Pulse Width 5.00ns = two RefClk periods min elsif Rising_Edge(RefClk) then if (rMMCM_LckdFallingFlag = '1') then rMMCM_Reset_q <= (others => '1'); else rMMCM_Reset_q <= '0' & rMMCM_Reset_q(rMMCM_Reset_q'high downto 1); end if; end if; end process MMCM_Reset; MMCM_LockSync: entity work.SyncAsync port map ( aReset => '0', aIn => aMMCM_Locked, OutClk => RefClk, oOut => rMMCM_Locked); MMCM_LockedDetect: process(RefClk) begin if Rising_Edge(RefClk) then rMMCM_Locked_q <= rMMCM_Locked & rMMCM_Locked_q(1); rMMCM_LckdFallingFlag <= rMMCM_Locked_q(1) and not rMMCM_Locked; rMMCM_LckdRisingFlag <= not rMMCM_Locked_q(1) and rMMCM_Locked; end if; end process MMCM_LockedDetect; GlitchFreeLocked: process(rRdyRst, RefClk) begin if (rRdyRst = '1') then aLocked <= '0'; elsif Rising_Edge(RefClk) then aLocked <= rMMCM_Locked_q(0); end if; end process GlitchFreeLocked; end Behavioral;
bsd-3-clause